November 24. Thursday, 24th November 9:10 ~ 12:00. 3F / Room C. Opening Session. 9:10-9:30 Welcome Address. Plenary Session (I)

Size: px
Start display at page:

Download "November 24. Thursday, 24th November 9:10 ~ 12:00. 3F / Room C. Opening Session. 9:10-9:30 Welcome Address. Plenary Session (I)"

Transcription

1 Thursday, 24th November 9:10 ~ 12:00 November 24 3F / Room C Opening Session 9:10-9:30 Welcome Address Plenary Session (I) Chair: Prof. Horng-Chih Lin, NCTU 9:30 KN-01 Ultra-Low Power and Ultra-Low Voltage Devices and Circuits for IoT Applications Toshihiro Hiramoto University of Tokyo, Japan 10:15 Coffee Break Plenary Session (II) Chair: Prof. Hsiang-Lin Liu, NTNU 10:30 KN-02 3D NAND Outlook and An Alternative Way to The 3D NAND Path with SGVC (Single Gate Vertical Channel) Approach Ken Hsieh Macronix., Taiwan 11:15 KN-03 Semiconductors in More than Moore Era Chih-I Wu Electronic and Optoelectronic System Research Laboratories, Industrial Technology Research Institute, Taiwan 12:00 Lunch 1

2 November 24 2F/Room A 2F/Room B 3F/Room A 3F/Room B A1: III-V and Ge MOS Devices Chairs: Tou-Hou Hou, NCTU Yao-Jen Lee, NDL B1: Advanced Photonic Structures Chairs: Hoang-Yan Lin, NTU Chao-Sung Lai, CGU C1: Advanced CMOS Devices and Processes Chairs: I-Chun Cheng, NTU Kin Leong Pey, SUTD D1: MRAM and Nanotransistors Chairs: Jer-Chyi Wang, CGU Pei-Wen Li, NCTU 13:30 A1-I (Invited) The future FETs: Tunneling, Negative Capacitance, or 2D Layer Materials? Albert G.C.Liang Singapore National University, Singapore 13: 30 B1-1 The Study of the High Efficiency InGaN/GaN Core-Shell Nanorod Light Emitting Diodes with Low Peak Blue Shift An-Jye Tzou 1, Shih-Ming Huang 2, Ray-Ming Lin 2 Tung-Chieh Chang 2, and Hao-Chung.Kuo 1 1 National Chiao Tung University 2 Chang Gung Memorial Hospital, Taiwan 13: 30 C1-1 Electrical Gate and Mechanical Stresses on <110> and <100> n-type FinFETs Wen-Teng Chang, Shih-Wei Lin, Wen-Kuan Yeh National University of Kaohsiung, Taiwan 13:30 D1-I (Invited) MRAM: From Spin-Transfer Torque to Spin-Orbit Torque Chi-Feng Pai National Taiwan University, Taiwan 13:55 A1-1 Demonstration of Enhancement-mode AlGaN/GaN MOS-HEMTs through the Recessed Gate and KOH Passivation Li-Cheng Chang, Yi-Hong Jiang, Tzung-Han Tsai, and Chao-Hsin Wu National Taiwan University, Taiwan 13:45 B1-2 Structural Variation in Bilayer Ge/Si Quantum Dot Superlattice and Its Implications for Intermediate Band Solar Cell Application Yi-Chia Tsai 1, Ming-Yi Lee 1, Yiming Li 1, and Seiji Samukawa Tohoku University, Japan 13:45 C1-2 Optimized Double-Gate MOSFET Structures for Analog and RF Performance Improvements Kalyan Koley 1,2, Chandan K Sarkar 2, and Steve S. Chung Jadavpur University, India 13: 55 D1-1 Fin Height Effect on Pi-Gate Polycrystalline Silicon Junctionless Fin FETs for 3-D IC Applications Yi-De Chan, Dong-Ru Hsieh, Jer-Yi Lin and Tien-Sheng Chao 14:10 A1-2 Improving the Characteristics of HfO 2 /Al 2 O 3 /GaSb MOSCAPs Using Hydrogen Plasma and Nitrogen Plasma Kuan-Hua Su 1, Wei-Ren Hsueh 1, Cheng-Yu Chen 1 and Jen-Inn Chyi 1,2 1 National Central University, 2 Academia Sinica, Taiwan 14:00 B1-3 The Different Window Layers of ITO and ZnO:B Thin Films on Nanoimprint Trench Surface for Heterojunction Silicon Solar Cells Pi-Chun Juan 1, Tsung-Chia Ho 1, Jyh-Liang Wang 1, Tsang-Yen Hsieh 1, Jung-Ruey Tsai 2, Cheng-Li Lin 3 and Chen-An Tsai 1 1 Ming Chi University of Technology, 2 Asia University, 3 Feng Chia University, Taiwan 14:00 C1-3 Timing and Power Fluctuations on Gate-All-Around Nanowire CMOS Circuit Induced by Work Function Fluctuation Pei-Jung Chao, Chieh-Yang Chen, and Yiming Li 14:10 D1-2 Advanced Vertical Stacked Nanowire Channel Field-Effect-Transistor Yun-Ru Wang 1, Yu-Ru Lin 2, Yi-Yun Yang 2, Yung-Chun Wu 2 and Yu-Hsien Lin 1, 1 National United University, 2 National Tsing Hua University, Taiwan 14:25 A1-3 Effects of PDA and PMA on the Y-GeO 2 /Ge Gate Stack Guan-Shiun Wang, Wei-Chieh Chen, Wei-Ting Shen, and Hao-Hsiung Lin National Taiwan University, Taiwan 14:15 B1-4 Optimum Cavity Length of High Power 915-nm Diode Lasers Tsung-Fan Yen 1, Chien-Ting Lin 1, Gray Lin 1, and Shih-Ting Lin 2 1 National Chiao Tung University, 2 Industrial Technology Research Institute, Taiwan 14:15 C1-4 Effect of Fin Shape of Tapered FinFETs on Sub-16-nm Application using TCAD simulation Hao Yang, Chia-Chou Lin, Yung-Chun Wu National Tsing Hua University, Taiwan 14:25 D1-3 A Comparison Study on the Performance of Planar Tunnel FET and Fin Tunnel FET with Epitaxial Tunnel Layer (ETL) Po-Shao Lin and Bing-Yue Tsui 14:40 A1-4 Gate Oxide Thickness Effect on Germanium Nanodot Phototransistors for Silicon-based Optical Interconnects M. H. Kuo 1, S. Y. Hung 1, P. C. Chen 1, W. T. Lai 2, M. C. Lee 2, and P. W. Li 1,2 1 National Central University, 2 14:30 B1-I (Invited) The Germanium Surprise! Unusual and Counter-Intuitive Ge/Si/O Interactions Result in Unique Nano-Optoelectronic Devices Tom George 1, Pei-Wen Li 1,2, K. H. Chen 1, I. H. Chen 1, and W. T. Lai 1,2 1 National Central University, 2 14:30 C1-I (Invited) Integration of Carbon Nanotube Technology for CMOS Interconnect Salahuddin Raju, Suwen Li, Changjian Zhou, Lining Zhang, and Mansun Chan Hong Kong University of Science and Technology, Hong Kong (PROC) 14:40 D1-4 Channel Engineering of Self-organized Ge-anosphere/ SiO 2 /Si 1-x Ge x -channel Heterostructure on Si for Ge MOSFETs C. W. Tien 1, P. H. Liao 2, K. P. Peng 1, H. C. Lin 1, and P. W. Li 1,2 1 National Chiao Tung University, 2 National Central University, Taiwan 2

3 November 24 2F/Room A 2F/Room B 3F/Room A 3F/Room B A2: Advanced III-V Devices Chairs: Hsien-Chin Chiu, CGU Albert G.C.Liang, SNU B2: Dye-Sensitized Solar Cell Chairs: Mu-Chun Wang, MUST Shea Jue Wang, NTUT C2: Memory Devices Chairs: Yung-Hsien Wu, NTHU Mansun Chan, HKUST D2: Sensor Devices Chairs: Chang-Chun Lee, NCHU Sheng-Wei Lee, NCU 15:15 A2-1 Record High Tunneling Current Density of 32 MA/cm 2 in InAs/GaSb Heterostructures Grown by Molecular Beam Epitaxy Pao-Chuan Shih 1, Wei-Chih Hou 1, Cheng-Ying Tsai 1, Hao-Hsiung Lin 1 and Jiun-Yun Li 1,2 1 National Taiwan University, 2 National Nano Device Laboratories, Taiwan 15:15 B2-I (Invited) Porosity Induced Full Range Visible-Light Photodetection via Ultrahigh Broadband Antireflection in ZnO Nanowires Kapil Gupta 1, Jr-Ting Lin 1, Ruey-Chi Wang 2, and Chuan-Pu Liu 1 1 National Cheng Kung University, 2 National University of Kaohsiung, Taiwan 15:15 C2-I (Invited) Analysis of Ultra Low Power Resistive Switching Events in HfO 2 RRAM Using Conductive Atomic Force Microscopy K.L. Pey 1, A. Ranjan 1,2, N. Raghavan 1, K. Shubhakar 1 and S.J. O Shea 2 1 Singapore University of Technology and Design, Singapore 2 Institute of Materials Research and Engineering (IMRE), A*STAR, Singapore 15:15 D2-1 Photo Sensitivity Enhancement by Controlling Neighboring Device inversion Level via Coupling Effect on MIS(p) Tunnel Diodes Wei-Tzu Hou and Jenn-Gwo Hwu National Taiwan University, Taiwan 15:30 A2-2 Improved On-state Current and Subthreshold Swing of GaAsSb/InGaAs Tunnel Field Effect Transistor with a Pocket Layer Tzu-Yu Yu, Chun-Wei Lin, Cheng-Yu Chen, Chao-Min Chang, Jen-Inn Chyi and Yue-Ming Hsin National Central University, Taiwan 15:40 B2-1 Titanium Dioxide Nanoparticles in Colloidal Agarose Electrolyte to Promote Ionic Transport of Iodide/Iodine Redox Couple Chao-Ming Shih 1, Yun-Ling Wu 1, Yi-Chun Wang 1, S. Rajesh Kumar 1, Yung-Liang Tung 2, Chun-Chen Yang3, and Shingjiang Jessie Lue 1,3,4 1 Chang Gung University, 2 Industrial Technology Research Institute, 3 Ming Chi University of Technology, 4 Chang Gung Memorial Hospital, Taiwan 15:40 C2-1 An Investigation of P-channel One-Time-Programming Cells by High-κ Metal Gate CMOS Logic Process Jo En Yuan, Jen Chieh Kuo, Yu-Zheng Chen, Chrong Jung Lin and Ya-Chin King, National Tsing Hua University, Taiwan 15:30 D2-2 Flexible Organic Proximity Sensor with High Displacement Resolution Chao-Hsuan Chen 1, Hsiao-Wen Zan 1, Hsin-Fei Meng 1, Wilfried Hortschitz 2, Harald Steiner 2, Thilo Sauter 2,3 1 2 Danube University Krems, Austria 3 TU Wien, Austria 15:45 A2-3 Switching Time Enhancement for Ultra-Thin-Body InGaAs-OI MOSFET through Underlap Design Zi-Cheng Huang and Vita Pi-Ho Hu National Central University, Taiwan 15:55 B2-2 Atmospheric Pressure Plasma Jet Processed Graphene/Pt Nanocomposites for the Counter Electrodes of Dye-sensitized Solar cells Ting-Hao Wan, Yi-Fan Chiu, Chieh-Wen Chen, Cheng-Che Hsu, I-Chun Cheng, and Jian-Zhang Chen National Taiwan University, Taiwan 15:55 C2-2 Fabrication and Electrical Characteristics of HfOx/TiN RRAM Devices with Ru/TiN as Top Electrode Chang-Hsien Lin, Kai-Hsin Li, Ming-Taou Lee, Cho-Lun Hsu, Wen-Cheng Chiu, Yi-Ju Chen, Yun-Jie Wei, Min-Chuan Hsiao, Ying-Tsan Tang, Yun-Kai Yang, Hsiao-Pai Chiang, Hsu-Chun Cheng, Chun-Chi Chen, Cheng-San Wu, Bo-Wei Wu, Min-Cheng Chen, Jia-Min Shieh, and Wen-Kuan Yeh National Nano Device Laboratories, Taiwan 15:45 D2-3 Uric Acid Sensing by Using Silicon Nanowires in Electrolyte- Insulator-Semiconductor Structure Anisha Roy, Pankaj Kumar, Kanishk Singh, Surajit Jana, and Siddheswar Maikap Chang Gung University, Taiwan 16:00 A2-4 Study on AlGaN/GaN Schottky Barrier Diode with Vertical Contact Electrode Structure Chih-Yao Chang, Po-Ju Chu, Ting-Fu Chang, and Chih-Fang Huang National Tsing Hua University, Taiwan 16:10 B2-3 Investigation on Different Iodine Concentrations of Electrolyte for Dye-sensitized Solar Cell with Platinum Counter Electrode Modified by Graphene Oxide and Magnetic Bead Wan-Yu Hsu 1, Jung-Chuan Chou 1, Yi-Hung Liao 2, Chih-Hsien Lai 1, Yu-Jen Lin 2, Pei-Hong You 2, Chang-Chia Lu 1, Yu-Chi Huang 1 and Yu-Hsun Nien 1 1 National Yunlin University of Science and Technology, 2 TransWorld University, Taiwan 16:10 C2-3 A Novel Resistive Random Access Memory (RRAM) Devices with the Nanorod Structure Kai-Chi Chuang, Kuan-Yu Lin, and Huang-Chung Cheng 16:00 D2-4 Detection of ph and H 2 O 2 Sensing by Using CdSe/ZnS Nanoparticle in Electrolyte-insulator-semiconductor Structure Pankaj Kumar, Surajit Jana, Kanishk Singh, Anisha Roy, and Siddheswar Maikap Chang Gung University, Taiwan 16:15 A2-I (Invited) Heterogeneous Process Integration of CVD MoS 2 Channel in Si Based 3D FinFET Devices Min-Cheng Chen National Nano Device Labs, Taiwan 16:25 B2-4 Fabrication of the TiO 2 Compact Layer Photoanodes for Dye-sensitized Solar Cells Chen-Chuan Wang, Hsueh-Tao Chou, Chun-Hsin Wang, Hsin-Chang Liu, Ho-Chun Hsu National Yunlin University of Science and Technology, Taiwan 16:25 C2-4 A Record High On/Off Ratio of 10 8 in a Ferroelectric Hf 0.5 Zr 0.5 O 2 Memristor Wei-Chih Hou 1, Bo-Ting Lin 1, Miin-Jang Chen 2 and Jiun-Yun Li 1, 2 1 National Taiwan University, 2 National Nano Device Laboratories, Taiwan. 16:15 D2-I (Invited) From Semiconductor and Nano-Transistor to Bioelectronics C. S Lai Chang Gung University, Taiwan 3

4 POSTER SESSION I (16:40~17:20, 2F/Room C) Area A Compound Semiconductor Materials and Electronic & Photonic Devices (41 papers) PA-1 High signal contrast lateral amorphous selenium ( -Se) MISIM photodetector using ultrathin dielectric as blocking layer Cheng-Yi Chang, Jian-Siang Lin, Jye-Yow Liao, Fu-Ming Pan PA-2 Investigation of Multiple T-Gate AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors Fabricated Using Laser Interference Photolithography Method Ching-Ting Lee, Wei-Shian Chen, and Chun-Chi Wang National Cheng Kung University, Taiwan PA-3 Characterization of Extended Quantized Width FinFETs Wen-Teng Chang, Yu-Yen Ho, Shih-Wei Lin, Shang-Min, Li National University of Kaohsiung, Taiwan November 24 PA-9 Properties of SnO x in P-type Thin-film Transistor with Furnace Annealing in Nitrogen and Oxygen Ambient Chien-Hung Wu 1, Kow-Ming Chang 2, Kuang-Jen Lai 2, Yi-Ming Chen 2, Kai-Chien Tsai 2 and Ing-Jar Hsieh 1 1 Chung Hua University and 2 PA-10 Effects of Iron and Niobium Doping on the Optical and Electrical Properties of MoS 2 Photodetectors J. J. Jheng, Shi-Ming Jian, Tsung-Shine Ko, Der-Yuh Lin, Yu-Jen Huang, Zheng-Sheng Chen National Changhua University of Education, Taiwan PA-11 Thermal Stress Exposing Surface Channel-length Effect of Nano n-type FinFETs Mu-Chun Wang 1, Ting-Wei Chao 1, Yun-Ru Chen 1, Chao-Nan Wei 2, Yu-Wei Wang 1, Shea-Jue Wang 3, Wen-How Lan 4 1 Minghsin University of Science and Technology, 2 Chung-Shan Institute of Science & Technology, 3 National Taipei University of Technology and 4 National University of Kaohsiung, Taiwan PA-12 Solution Process Feasible Efficient Single Emissive Layer White Organic Light Emitting Diode Deepak Kumar Dubey, Meenu Singh, Snehasis Sahoo, and Jwo-Huei Jou National Tsing Hua University, Taiwan PA-4 Effects of Metal Contacts on the Electrical Properties of MoS 2 Thin Films J. J. Jheng 1, D. J. Liao 1, T. S. Ko 1, D. Y. Lin 1, C.F. Lin 2, S.B. Huang 3 1 National Changhua University of Education, 2 National Chung Hsing University, and 3 Chienkuo Technology University, Taiwan PA-5 Effect of Oxygen Flow on the Electrical Properties of Aluminum Zinc Oxide Transistor Chiung-Wei Lin and Shu-Jheng Lin Tatung University, Taiwan PA-6 A Green Material Synthesis for TiO 2 -based Avalanche Photodetectors Fabrication by Mist-Chemical Vapor Deposition Han-Yin Liu 1, Wei-Hsin Liu 1, Guan-Cheng Tu 1, Fu-Yuan Hou 1, Ching-Sung Lee 1, and Wei-Chou Hsu 2 1 Feng Chia University and 2 National Cheng Kung University, Taiwan PA-7 Performance Enhancement of Film-Profile-Engineered Amorphous InGaZnO Thin-Film Transistors by Ar Plasma Treatment Bo-Shiuan Shie, Yu-An Huang, Horng-Chih Lin, and Tiao-Yuan Huang PA-8 Investigation on the Interfacial Traps of Plasma-Treated HfO 2 /Al 2 O 3 /InAs MOS Capacitors Wei-Jen Hsueh 1, Gong-Bang He 1, Cheng-Yu Chen 1, and Jen-Inn Chyi 1,2 1 National Central University and 2 Academia Sinica, Taipei, Taiwan PA nm Light Emitting Diode with Different Buffer Layer Hsin-Yen Cheng 1, Jinn-Kong Sheu 1, Chen-Hui Lee 1, Bo-Hsun Liao 2, Ming-Lun Lee 2,Po-Cheng Chen 2, Wei-Chih Lai 2, KuanTing Chen 3,Yen-Cheng Lee 3,and Zhen-Hao Chou 3 1 National Cheng Kung University and 2 Southern Taiwan University of Science and Technology, Taiwan PA-15 Resistance Reduction of Zinc Oxynitride with Plasma Treatments and Ion Implantation Chin-I Kuan, Horng-Chih Lin, Pei-Wen Li and Tiao-Yuan Huang PA-16 Color-Stable White OLEDs with Doped Buffer Layers Su-Hua Yang, Jian-Ping Wu, Tao-Liang Huang, Bin-Fong Chung, and Ting-Jing Huang National Kaohsiung University of Applied Sciences, Taiwan PA-17 Characterization of In-plane Gate Transistors with Different Geometries and Temperatures Hao-Yu Lan, Li-Cheng Chang, and Chao-Hisn Wu National Taiwan University, Taiwan PA-18 Steep Turn-Off of In 0.18 Al 0.82 N/AlN/GaN MIS-HEMT on Si P. G. Chen 1,2, M. Tang 3, M.-H. Liao 2, and M. H. Lee 1 1 National Taiwan Normal University, 2 National Taiwan University and 3 PTEK Technology Company, Ltd., Taiwan 4

5 PA-19 Deposition of Y 2 O 3 and Al 2 O 3 High-k Films on Ge/III-V by Atomic Layer Deposition Chun-Lin Chu, Guang-Li Luo, Szu-Hung Chen, Wen-Fa Wu, and Wen-Kuan Yeh National Nano Device Laboratories, Taiwan November 24 PA-28 Fabrication and Characterization of InGaAs Fin-HEMT with sub-220 nm Fin Width Utilizing Resist-Trimming Technology Cheng-Jia Dai, Li-Cheng Chang, and Chao-Hsin Wu National Taiwan University, Taiwan PA-20 Quantum-Dot Photonic Crystal Surface Emitting Lasers Tzu-Shan Cheng, Tsung-Lin Lee, Ming-Yang Hsu, Gray Lin and Sheng-Di Lin PA-21 Enhancement-Mode InAlN/GaN Metal-Oxide-Semiconductor High Electron Mobility Transistors with Liquid Phase Deposited Zirconium Oxide Chin-Yang Hsieh, Shou-Tang Wu and Yeong-Her Wang National Cheng-Kung University, Taiwan PA-29 Hybrid White Organic Light-Emitting Diodes on Flexible Substrates Jian-Yang Lin, Yu-Tung Tung, Tsung-Heng Yang, Yin-Chia Hsu National Yunlin University of Science and Technology, Taiwan PA-30 Investigation of AlGaN/GaN HEMT with Various Gate-Drain Spacing Chi-Chih Tseng 1, Tsung-Han Tsai 1, Yu-Min Yeh 1, Chiun-Cheng Hung 1, Cheng-Hsuan Li 1, Han-Yin Liu 2, Wei-Chou Hsu 1 1 National Cheng Kung University and 2 Feng Chia University, Taiwan PA-22 GaN/AlGaN/GaN MOS-HEMTs with MgO Gate Dielectric S. T. Yang 1, Y.C. Chen 1, X. C. Yao 1, J. Y. Lin 1, C. G. Lin 1, H. Y. Liu 1, W. C. Hsu 2 and C. S. Lee 1 1 Feng Chia University and 2 National Cheng Kung University, Taiwan PA-31 GaN MIS Capacitors with ALD Al 2 O 3 Insulator Layer C. K. Wang 1, J. S. Jheng 2, Y. Z. Chiou 1, S. P. Chang 2, and S. J. Chang 2 1 Southern Taiwan University of Science and Technology and 2 National Cheng Kung University, Taiwan PA-23 GaSb Channel Schottky-Barrier MOSFET with Ni Source/Drain and Hydrogen Plasma Treated Interface Ming-Li Tsai, Jun-Yu Ko, and Chao-Hsin Chien PA-32 Band Gap Narrowing Induced by H 2 Plasma Treatment in 2D MoS 2 Transistor Wei-Chen Liao, Ching-Ting Lin, Pang-Shiuan Liu, and Tuo-Hung Hou PA-24 Effects of AlGaN/GaN Schottky Barrier Diode (SBD) with Different Fe-Doped Concentration in Buffer Layer Shang-Cyun Chen 1, Jiun-Wei Chiu 1, Bo-Hong Li 1, Hou-Yu-Wang 1, Li-Yi Peng 1, Hsiang-Chun Wang 1, Hsien-Chin Chiu 1, Kuang-Po Hsueh 2 1 Chang Gung University and 2 Vanung University, Taiwan PA-25 MSM photodetectors using CBD grown ZnO nano-rods(nrs) on ZnO/Quartz Wei-Sheng Chen, Yu-HaoLiu, Yu-Sen Chiang, Hui-Lin Kao Chung Yuan Christian University, Taiwan PA-26 Drain Current Improvement of P-channel InGaAs/GaAsSb Doublegate Hetero-junction Tunneling Field-Effect Transistors with a GaSb Pocket Layer Jin-Yang Chen 1, Jhih-Cheng Wu 1, Cheng-Yu Chen 1, Andrey Katkov 2, and Jen-Inn Chyi 1,2 1 National Central University and 2 Academia Sinica, Taiwan PA-27 Ferroelectric of HfO 2 Dielectric Layer Sputtered with TiN and ZrN for Sandwich-like Metal-insulator-metal Capacitors Y.C. Kuo 1, H.W. Wang 1, T.Y. Shih 1, P.C. Juan 2, K.C. Lin 3 and C.H. Liu 1 1 National Taiwan Normal University, 2 Ming Chi University and 3 Ming Chuan University, Taiwan PA-33 The Compact Conduction Band Model for Monolayer TMD Alloy Kuan-Ting Chen, Ren-Yu He, Chia-Feng Lee, Ming-Ting Wu and Shu-Tong Chang National Chung Hsing University, Taiwan PA-34 Enhancement-mode GaN HEMT on Sapphire by Modulation Length-Via-Hole Trench Structure Cheng-Yen Chien 1, Wen-Hsin Wu 2, Yao-Hong You 1, Hong-Ru Pan 1, Chia-Yu Lee 2, Shih-Ming Huang 3, Joseph Tung-Chieh Chang 3, Ray-Ming Lin 2,3 and Chieh-Hsiung Kuan 1 1 National Taiwan University and 2 Chang Gung University and 3 Chang Gung Memorial Hospital, Taiwan PA-35 Improving Electrical Performances of -IGZO Thin Film Transistors with Stacked SiO 2 /ZrO 2 /SiO 2 Dielectrics Chien-Hsiung Hung 1, Shui-Jinn Wang 1, Pang-Yi Liu 1, Chien-Hung Wu 2, Hao-Ping Yan 1, Nai-Sheng Wu 1, and Tseng-Hsing Lin 1 1 National Cheng Kung University and 2 Chung Hua University, Taiwan PA-36 Mobility Enhancement of Back-Channel-Etch Amorphous InGaZnO Thin-film Transistors by Gate Control Chia-Chun Yen, Zheng-Lun Feng, C. W. Liu National Taiwan University, Taiwan 5

6 PA-37 TiO 2 /GaN/AlGaN/GaN Metal-Oxide-Semiconductor High-Electron Mobility Transistors on Si Substrate Y. S. Lin 1, C. C Lu 1, W. C. Hsu 2, and Y. D. Liu 1 1 National Dong Hwa University and 2 National Cheng Kung University, Taiwan PA-38 Improving the Thermal Stability of Ge and InGaAs MOSCAPs by In-situ Nitrogen Plasma Treatment before Atomic Layer Deposition Nai-Rong Hsu 1, Cheng-Yu Chen 1, Pei-Chia Lee 1, An-Jye Tzou 2, Hao-Chung Kuo 2, Jen-Inn Chyi 1 1 National Central University and 2 National Chiao Tung University, Taiwan PA-39 Reduction of Defects in Recessed-gate Region of Al 2 O 3 /AlGaN/GaN MOSHEMT by Exactly-Etched AlGaN Barrier Yi-Hong Jiang, Tzung-Han Tsai, Chao-Hsin Wu National Taiwan University, Taiwan PA-40 Improved Crystalline Characteristics of AlN Thin Films using Laser Annealing Process Wei-Che Shih 1, Ying-Chung Chen 1, Chien-Chuan Cheng 2, Kuo-Sheng Kao 3 and Wei-Tsai Chang 4 1 National Sun Yat-Sen University, 2 De Lin Institute of Technology, 3 Shu-Te University and 4 Metal Industries Research and Development Center, Taiwan PA-41 Simulation the Responsivities and Efficiencies of the β-fesi 2 P-I-N Photodiodes Jung-Sheng Huang, Kuan-Wei Lee, Cheng-Yao Huang, and Hsin-Wei Chen I-Shou University, Taiwan PA-42 Improvement of crystalline quality of AlGaN epitaxial layer by modulating the strain using an AlN interlayer Tien Tung Luong, Yen-Teng Ho, Yuen-Yee Wong, Shane Chang, Edward-Yi Chang November 24 PC-3 Design of Multi-threshold Threshold Logic Using MOS-BJT-NDR Circuit Kwang-Jow Gan 1, Che-Wei Chang 1, Chun-I Guo 1, and Wein-So Wang 2 1 National Chiayi University and 2 Kun Shan University, Taiwan PC-4 Resistive Switching Properties in Graphene-Oxide-Based Flexible Memory Device with Copper Top Electrode Chun-Chieh Lin, Hsiao-Yu Wu, Shuo-Wen Tsai, and Zih-Lun Zeng National Dong Hwa University, Taiwan PC-5 Impact of Metal-Gate on Device Performance and Reliability of nfinfets Cheng-Kai Yang 1, Shi-Yao Chen 1, Chiao Feng chuang 1, Wenqi Zhang 1, Yi-Lin Yang 3, Wen-Kuan Yeh 1, 2 1 National University of Kaohsiung, 2 National Nano Device Laboratories, National Applied Research Laboratories and 3 National Kaohsiung Normal University, Taiwan PC-6 Substrate Current Characteristics for 28 nm HK/MG NMOSFETs under HC Stresses Shun-Ping Sung 1, Mu-Chun Wang 1,3, Heng-Sheng Huang 1, Shuang-Yuan Chen 1, Cheng-Wei Bai 1, Shea-Jue Wang 1, Win-Der Lee 2 1 National Taipei University of Technology, 2 Lee-Ming Institute of Technology and 3 Minghsin University of Science & Technology, Taiwan PC-7 Hot-Carrier Induced Degradation and Its Recovery in HK/MG NMOSFETs Ching-Tang Chang 1, Heng-Sheng Huang 1, Win-Der Lee 2, Shuang-Yuan Chen1, Hau-Kei Hsu 1, Mu-Chun Wang 1,3, Shea-Jue Wang 4 1 National Taipei University of Technology, 2 Lee-Ming Institute of Technology, 3 Minghsin University of Science and Technology and 4 National Taipei University of Technology, Taiwan PC-8 Feasible Programming Methods for 28nm-node nmosfets Ko-Chin Hsu 1, Fu-Yuan Tuan 1, Mu-Chun Wang 1, Shea-Jue Wang 1, Heng-Sheng Huang 1, Shuang-Yuan Chen 1, Win-Der Lee 2, Chia-Yu Tsai 1 1 National Taipei University of Technology and 2 Lee-Ming Institute of Technology, Taiwan Area C Integrated Circuits and Packaging Technologies (41 papers) PC-9 A Short-Channel-Effect-Degraded Noise Margin Model for Ultra-Low Power CMOS Logic Gates Comprising Quadruple-Gate MOSFETs Hong-Wun Gao 1, Yeong-Her Wang 1 and Te-Kuang Chiang 2 1 National Cheng Kung University and 2 National University of Kaohsiung, Taiwan PC-1 Diodes String with Embedded SCR for RF ESD Protection in 0.18-um CMOS Meng-Ting Lin, Guan-Yi Li, and Chun-Yu Lin National Taiwan Normal University, Taiwan PC-2 Design of Full Adder Using MOS-NDR Circuit Che-Wei Chang 1, Kwang-Jow Gan 1, and Wein-So Wang 2 1 National Chiayi University and 2 Kun Shan University, Taiwan PC-10 Dual-Resonance Concurrent Oscillator Sheng Lyang Jang, Chih-Chiang Kang, and Huan-Chun Wang National Taiwan Univ. of Science and Tech., Taiwan PC-11 Deep-n-well-assisted Backside-illuminated CMOS Photovoltaic Devices with Light Trapping Structures Chen-Han Lu, Hsiu-Wei Su, and Yung-Jr Hung National Sun Yat-sen University, Taiwan 6

7 PC-12 Study the Reliability for Hot-Carrier Stress Induced Degradation on N-Channel Multi-fin FinFETs Devices An-Ni Dai 1, Shih-Yao Chen 1, Chen-Kai Yang 1, Chiao-Feng Chuang 1, Wenqi Zhang 1, Yi-Lin Yang 2 and Wen-Kuan Yeh 1,3 1 National University of Kaohsiung, 2 Nation Kaohsiung Normal University and 3 Nano Device Laboratory, Taiwan PC-13 CLM Effect of Nano p-channel FinFETs Depending on VT Implant Energies Mu-Chun Wang 1, Zih-Yang Rao 1, You-Sheng You 1, Wen-Shiang Liao 2, Jhen-Wei Tien 1, Shea-Jue Wang 3, Wen-How Lan 4 1 Minghsin University of Science and Technology, Taiwan, 2 Hubei University, PR China, 3 National Taipei University of Technology and 4 National University of Kaohsiung, Taiwan PC-14 Effective Surface Channel-length Effect of Nano-scale n-channel Fin-FETs Integrated with VT Doping Energies Wen-Shiang Liao 2, Zih-Yang Rao 1, You-Sheng You 1, Mu-Chun Wang 1, Yu-Wei Wang 1, Shea-Jue Wang 3, Wen-How Lan 4 1 Minghsin University of Science and Technology, Taiwan, 2 Hubei University, PR China, 3 National Taipei University of Technology and 4 National University of Kaohsiung, Taiwan PC-15 Novel Layout Methodology with Pickup Modification to Improve Machine Model ESD Level Mei-Ling Chao, Hou-Jen Chiu, Tien-Hao Tang, and Kuan-Cheng Su United Microelectronics Corp., Taiwan November 24 PC-20 Resistive Switching Properties of Alkaline Earth Oxide Based Memory Devices Ke-Jing Lee, Cheng-Jung Lee, Yu-Chi Chang, and Yeong-Her Wang National Cheng-Kung University, Taiwan PC-21 Study of SONOS Nonvolatile Memory Based on Double Stacked Si 3 N 4 Trapping Layer with Gate-All-Around Structure Yu-Ru Lin, Yi-Wei Chiang, Wei-Cheng Wang and Yung-Chun Wu National Tsing Hua University, Taiwan PC-22 The Program Mechanism with CHEI/DAHC on Nano HK/MG CMOS Logic Process Fu-Yuan Tuan 1, Ko-Chin Hsu 1, Shea-Jue Wang 1, Mu-Chun Wang 1, Chia-Yu Tsai 2, Heng-Sheng Huang 1, Shuang-Yuan Chen 1, Win-Der Lee 2, Huei-Yun Bo 3 1 National Taipei University of Technology, 2 Lee-Ming Institute of Technology and 3 Chung-Shan Institute of Science & Technology, Taiwan PC-23 High Gain CMOS UWB LNA Employing Noise Cancellation Technique Meng-Ting Hsu, Member IEEE, Chung-Kai Fan, Yu-Sian Siao National Yunlin University of Science and Technology, Taiwan PC-24 Nitrogen Flow Rate Relating Diffusion Behaviors of Copper in TaN Layers Shea-Jue Wang 1, Tzu-Hsien Yang 1, Zheng-Da Yang 1, Jun-Yu Huang 1, Shih-Fan Chen 1, Mu-Chun Wang 2, Yu-Lom Lin 1, Hui-Yun Bor 3 1 National Taipei University of Technology, 2 Minghsin University of Science and Technology and 3 Chung-Shan Institute of Science & Technology, Taiwan PC-16 Middle Gate Bias Exposing CLM Effect of Nano n-channel FinFETs Mu-Chun Wang 1, Wen-Yang Huang 1, Jia-Houng Huang 1, Wen-Shiang Liao 2, Yu-Wei Wang 1, Shea-Jue Wang 3, Wen-How Lan 4 1 Minghsin University of Science and Technology, Taiwan, 2 Hubei University, PR China, 3 National Taipei University of Technology and 4 National University of Kaohsiung, Taiwan PC-17 Heat Stress Impacting Early Effect of Nano p-channel FinFETs at High Gate Field Chii-Wen Chen 1, Ting-Wei Chao 1, Chen-Wei Zhang 1, Hui-Yun Bor 2, Mu-Chun Wang 1, Jhen-Wei Tien 1,Wen-How Lan 3 1 Minghsin University of Science and Technology, 2 Chung-Shan Institute of Science & Technology and 3 National University of Kaohsiung, Taiwan PC-18 The LSPR Enhanced Au Nanoparticles/Deformed TiO 2 Aggregate Photoanode Applied for Dye Sensitized Solar Cells Hsueh-Tao Chou, Ho-Chun Hsu National Yunlin University of Science and Technology, Taiwan PC-19 HBM-Reliability Influences of Channel Length and Drift-region Modulations in the 600 V UHV N-channel LDMOS Devices Shen-Li Chen 1, Chih-Ying Yen 1, Jia-Ming Lin 2, Jen-Hao Lo 2, Yi-Hao Chao 1, Yi-Cih Wu 1, KueiJyun Chen 1, Chih-Hung Yang 1, Chun-Ting Kuo 2, Yi-Hao Chiu 1, Yu-Lin Lin 1 1 National United University, Taiwan and 2 Peking University, China PC-25 Performance of TaN as Diffusion Barrier Layer under N 2 Flow-rate Control Shea-Jue Wang 1, Tzu-Hsien Yang 1, Zheng-Da Yang 1, Chih-Lin Chan 1, Shih-Fan Chen 1, Mu-Chun Wang 2, Yu-Lom Lin 1, Chao-Nan Wei 3 1 National Taipei University of Technology, 2 Minghsin University of Science and Technology and 3 Chung-Shan Institute of Science & Technology, Taiwan PC-26 UV-Assisted Thermal Annealing of PECVD Low-k Silicon Carbonitride Films Hau-Ting Chung, Ting-Jun Chen and Jihperng Leu PC-27 Effects of Si Recess on Device Characteristics and Hot-Carrier Reliability in nmosfets Yen-Lin Tsai 1, Jone F. Chen 1, Hao-Tang Hsu 2, Chih-Yuan Chen 2, and Hann-Ping Hwang 2 1 National Cheng Kung University and 2 Powerchip Technology Corporation, Taiwan PC-28 Effects of CF 4 Plasma Treatment Power on Blocking Oxide Layer of Gated-Injected Gold-Nanoparticle Nonvolatile Memory Yu-Hua Liu 1, and Jer-Chyi Wang 1,2,3,4 1 Chang Gung University, 2 Chang Gung Memorial Hospital, 3 Chang Gung University and 4 Ming Chi University of Technology, Taiwan 7

8 PC-29 Investigation of Chip-Interposer Interaction by Loading the Residual Stress of Copper-Filled Through Silicon Via Chang-Chun Lee, Pei-Chen Huang National Chung Hsing University, Taiwan November 24 PC-38 Effect of UV Annealing on the Optical and Mechanical Properties of PECVD Silicon Carbonitride Films using TVSZ Precursor Hung-Tse Li, Wei-Sung Chen, and Jihperng Leu PC-30 Design and Fabrication of Infrared Thermal Emitters with MEMS Process Ying-Ting Liu, Hou-An Su, Tsung-Han Lee, Ling-Hsiu Hung, San-Liang Lee National Taiwan University of Science and Technology, Taiwan PC-31 Study of Resistive Switching Characteristics in Cu/a-C/TiN Structure G. Sreekanth, S. Samanta, M. Dutta, S. Chakrabarti, D. Jana, and S. Maikap Chang Gung University, Taiwan PC-32 The Effect of Contact-Etch-Stop-Layer and Si 1-x Ge x Channel Mechanical Properties on Nano-Scaled Short Channel NMOSFETs with Dummy Gate Arrays Chang-Chun Lee 1, Dian-Yung Lee 2, Chia-Ping Hsieh 3, and Chuan-Hsi Liu 2 1 National Chung Hsing University, 2 National Taiwan Normal University and 3 National Taiwan University, Taiwan PC-33 Incorporation of Hf Layer at the W/HfO 2 Interface for Improving Resistive Switching Memory Characteristics M. Dutta 1, S. Maji 2, S. Samanta 1, G. Sreekanth 1, S. Roy 1, R. Panja 1, S. Chakrabarti 1, R. Mahapatra 2, and S. Maikap 1 1 Chang Gung University, Kwei-shan, Taiwan and 2 National Institute of PC-39 DC/AC/RF Characteristic Fluctuation of 10-nm Gate-All-Around Silicon Nanowire MOSFETs Induced by Discrete Random Dopants Wen-Li Sung, Chieh-Yang Chen, and Yiming Li PC-40 Implantation of Microstructures for Improving Electrical Contacts between Compressed Flexible-Chips Yawen Su 1, Chiidong Chen 2 1 National Nano Device Laboratories / National Applied Research Laboratories and 2 Academia Sinica, Taiwan PC-41 Dimension Effect and Characteristic of Graphene-Oxide Resistive RAM on Flexible PEN Substrate Cheng-Li Lin 1, Tse-Wen Wang 1, Pi-Chun Juan 2, Tsung-Kuei Kang 1, and Cheng-Yu Hsieh 3 1 Feng Chia University, 2 Ming Chi University of Technology and 3 Enerage Inc., Taiwan Technology, India PC-34 Charge Trapping Bilayer RRAM Device Utilizing Atomic Layer Deposition Technology for 3D Memory Array Application Ying Chen, Boris Hudec, Che-Chia Chang, Tzu-Ping Lin, Taifang Wang, and Tuo-Hung Hou National Chiao-Tung University, Taiwan PC-35 A SPICE Model of Magnetic Tunnel Junctions for MRAM Circuit Simulation Lucas Yang, and Chao-Hsin Wu National Taiwan University, Taiwan PC-36 Defect Engineering of Non-Filamentary Ta/HfO X /Al:TiO 2 /TiN RRAM Che-Chia Chang, Boris Hudec, Wei-Chiao Chang, Ying Chen, Taifang Wang, Po-Tsun Liu, and Tuo-Hung Hou National Taiwan University, Taiwan PC-37 Evaluation of 6T-SRAM with Multi-Gate Structures at 7 nm and 10 nm Technology Nodes Meng-Yen Wu, Jheng-Yi Chen, and Meng-Hsueh Chiang National Cheng Kung University, Taiwan 8

9 November 25 2F/Room A 2F/Room B 3F/Room A 3F/Room B A3: MIS Devices Chair: Jyh-Liang Wang, MCUT B3: Photodetectors, CIGS Solar Cells, and Nanogenerator Chair: Pi-Chun Juan, MCUT C3: 3D IC Chair: Hei Wong City University of Hong Kong D3: Ge Devices and NC FETs Chair: Kuo-Wei Liu, MCU 9:00 A3-1 Characterization of HfO 2 /Al 2 O 3 /(Ge, InGaAs) MOS Capacitors Fabricated by a Common Gate Stack Process Pei-Chia Lee 1, Cheng-Yu Chen 1, Nai-Rong Hsu 1, Shih-Pang Chang 2, Guang-Li Luo 2 and Jen-Inn Chyi 1,3 1 National Central University, 2 National Nano Device Laboratories, 3 Academia Sinica, Taiwan 9:00 B3-1 Synthesis of Sb-doped ZnO Nanorod Arrays for UV Photodetection and Thermoelectric Properties Thi-Phuong Pham, Chi-Lin Tsai, Chuan-Pu Liu National Cheng Kung University, Taiwan 9:00 C3-1 Dry-Film Technology Development for Advanced 3D IC and Heterogeneous Integration Applications Yan-Pin Huang and Kuan-Neng Chen 9:00 D3-1 Controllability of Gate-stacking Heterostructure of Ge Gate/SiO 2 /SiGe Channel Fabricated by One-step High Temperature Oxidation Process Po-Hsiang Liao 1, Shih-Cing Luo 1, Kang-Ping Peng 2, Horng-Chih Lin 2, Tom George 1, and Pei-Wen Li 2 1 National Central University, 2 9:15 A3-2 Effects of Substrate and Capping Layer in MoS 2 Ultrathin Body FET Tsung-Han Lee, Ching-Ting Lin, Pang-Shiuan Liu, and Tuo-Hung Hou 9:15 B3-2 High-performance Printing CIGSeS Solar Cell Fabricated by Large-area Planar Mass-producible Furnace Chia-Ming Chang, Chien-Chih Chiang, Lung-Teng Cheng, Yun-Feng Chen, Yu-Yun Wang, Sheng-Wen Chan, Wei-Shih Hung, Yung-Tsung Liu, Tung-Po Hsieh and Song-Yeu Tsai Industrial Technology Research Institute, Taiwan 9:15 C3-2 Low Power monolithic 3D + IC for IoTs Application Using Far-Infrared Ray Laser Anneal Technology Chih-Chao Yang 1, Jia-Min Shieh 1, Tung-Ying Hsieh 1, Wen-Hsien Huang 1, Hsing-Hsiang Wang 1, Jin-Long Hsieh 1, Tsung-Ta Wu 1, Chang-Hong Shen 1, Meng-Chyi Wu 2, and Wen-Kuan Yeh 1 1 National Nano Device Laboratories, 2 National Tsing Hua University, Taiwan 9:15 D3-2 Study on the Polycrystalline-Germanium Thin-Film Transistors via Continuous Wave Laser Crystallization and Counter Doping Chun-Yi Wu, Yi-Shao Li, Chia-Hsin Chou, Chan-Yu Liao, and Huang-Chung Cheng. 9:30 A3-3 Improved Off-state Current of GaAsSb/InGaAs Tunnel Field Effect Transistors Hung-Ru Chen, Chun-Wei Lin, Yi-Nan Zhong, and Yue-Ming Hsin National Central University, Taiwan 9:30 B3-I (Invited) Nanogenerator Made from Recycled Rice Husks Jyh-Ming Wu, Chih Kai Chang, and Yu Ting Chang National Tsing Hua University, Taiwan 9:30 C3-3 The Capacitance Issue in the Three-dimensional Integrated Circuitry of Hybrid Complementary Thin Film Transistor Jhih-Wei Deng and Ya-Hsiang Tai National Chiao Tung University, Taiwan 9:30 D3-I (Invited) Steep Subthreshold Swing with Negative Capacitance Effect using Ferroelectric Gate Stack Min-Hung Lee National Taiwan Normal University, Taiwan 9

10 November 25 2F/Room A 2F/Room B 3F/Room A 3F/Room B A4: Wide-bandgap FET Chairs: Chao-Hsin Wu, NTU Ya-Chin King, NTHU B4: Perovskite Solar Cells Chairs: Jian-Zhang Chen, NTU Ming-Han Liao, NTU C4: Novel Device Schemes and EUV Lithography Chairs: Kuan Neng Chen, NCTU Wen-Kuan Yeh, NDL D4: SiC and Oxide-Semiconductor Devices Chairs: Cheng-Li Lin, FCU Ya-Hsiang Tai, NCTU 10:35 A4-I (Invited) Investigation of AlGaN/GaN HEMTs with Different Cap Layers Yue-ming Hsin, Yan-Cheng Jiang, and Chih-Hao Li National Central University, Taiwan 10:35 B4-1 An Investigation of Organic Photovoltaics Improvement via Extension of the Exciton Lifetime Yi-Jhe Tsai, Wei-Yi Chiang, Tung-Yu Lin, Yu-Wei Tsui and Wei-Chou Hsu National Cheng Kung University, Taiwan 10:35 C4-IA (Invited) On the Abnormal Characteristics of Multi-channel Nanowire MOS Transistors Hei Wong 1, Wanjie Xu 1, Danqun Yu 2, Kuniyuki Kakushima 3 and Hiroshi Iwai 3 1City University of Hong Kong, Hong Kong 2 Zhejiang University, Hangzhou, China 3 Tokyo Institute of Technology, Japan 10:35 D4-I (Invited) Robust Edge Termination for Vertical Power Devices in SiC Chih-Fang Huang 1, Hua-Chih Hsu 2, Jheng-Yi Jiang 1, Kuan-Wei Chu 1 Feng Zhao 2, Kung-Yen Lee 3 1 National Tsing Hua University, Taiwan 2 Washington State University, USA 3 National Taiwan University, Taiwan 11:00 A4-1 High Current and Low Dynamic On Resistance Enhancement-mode GaN MIS-HEMT Arghyadeep Sarkar, Shih-Chien Liu and Edward Yi Chang 10:50 B4-2 The Effect of Substrate Preheating on ZnO Nanorods-based Perovskite Solar Cell Wei-Shuo Li, Hsiu-Ting Yang and Huang-Chung Cheng National Chiao-Tung University, Taiwan 11:00 C4-1 Hardware Neural Network Emulator: Parallel Back-Propagation Learning for Pattern Recognition Chih-Chun Su, Yu-Lin Shen, I-Ting Wang, Teyuh Chou, Li-Wen Chiu, Chih-Cheng Chang, and Tuo-Hung Hou 11:00 D4-1 N-type Unipolar ZnON Inverters Fabricated with Film Profile Engineering Ming-Hung Wu, Horng-Chih Lin, Pei-Wen Li, and Tiao-Yuan Huang 11:15 A4-2 High Performance GaN Fin-HEMT with Current Collapse-free by ALD Surface Treatment Shang-Chieh Yang 1, An-Jye Tzou 1, Zhen-Yu Li 2, and Hao-Chung. Kuo 1,3 1 National Chiao Tung University, 2 Epistar, 3 National Nano Device Laboratories, Taiwan 11:05 B4-3 TiO 2 Compact Layer Processed by Atmospheric Pressure Plasma Jet for Perovskite Solar Cells Yi-Dai Lin, Zhen-Chun Chen, Chia-Shuo Li, Jian-Zhang Chen, Cheng-Che Hsu, Chih-I Wu, and I-Chun Cheng Nationals Taiwan University, Taiwan 11:15 C4-2 Vertical NPN Devices for ESD Protection in BiCMOS Technology Guo-Lun Huang, Wei-Hao Fu, and Chun-Yu Lin National Taiwan Normal University, Taiwan 11:15 D4-2 Resistive Switching Characteristics of Silver Programmable Metallization Cells with Ag/Gd x O y /Al x O y /Ir Structure Ya-Ting Chan 1, Wei-Fan Chen 1, Jer-Chyi Wang 1,2,3, and Chao-Sung Lai 1 1 Chang Gung University, 2 Chang Gung Memorial Hospital, 3 Ming Chi University of Technology, Taiwan. 11:30 A4-3 Improvement on Bias Stress Stability of High-Voltage Amorphous InGaZnO Thin-Film Transistor Ruei-Ping Lin, Ming-Jiue Yu, Yu-Hong Chang, and Tuo-Hung Hou 11:20 B4-I (Invited) Toward Environmental Molecular Solar Cells Processed from Halogen-Free Solvents Chih-Wei Chu Academia Sinica, Taiwan 11:30 C4-IB (Invited) EUV Lithography for Patterning Backend Layers of 5nm Node and beyond Tsann-Bim Chiou 1, Alek C. Chen 2, and Mircea Dusa 2 1 ASML, Taiwan 2 ASML, USA 11:30 D4-3 Deposition of Organic-Inorganic Hybrid Films by Inductively Coupled Plasma Chemical Vapor Deposition and Applications for Amorphous Indium-Gallium- Zinc-Oxide Thin Film Transistors Ching-Hsiang Chang, Chao-Jui Hsu, Kuei-Ming Chang, and Chung-Chih Wu National Taiwan University, Taiwan 10

11 POSTER SESSION II (9:55~10:35, 2F/Room C) Area B Sustainable Energy Devices and Materials (26 papers) PB-1 An Innovative Structural Design of Lithium-ion Battery Management system (BMS) with Bluetooth Communication for Wireless Monitoring and Controlling of the Battery s Charging and Discharging Status Ching-Wu Wang, Zhong-Xun Chi, Li-Jie Lu, Jia-Lin You, Yu-Kun Huang, Ting-Yu Chen, and Cha-Yo Yan National Chung Cheng University, Taiwan PB-2 Effect of Pt with Different Sputtering Time and PProDOT-DP Composite Counter Electrodes Applied in DSSCs Yu-Chi Huang 1, Tzi-Yi Wu 1, Jung-Chuan Chou 1, Yi-Hung Liao 2, Chih-Hsien Lai 1, Chia-Ming Chu 1 and Yu-Jen Lin 1 University, Taiwan PB-3 Incorporation of Black Phosphorus and TiO 2 in Anhydrous Alcohol Fabricating TiO 2 Colloid for Dye Sensitized Solar Cell Chang-Chia Lu 1, Jung-Chuan Chou 1, Yi-Hung Liao 2, Chih-Hsien Lai 1, Yu-Jen Lin 1, Pei-Hong You 1 and Wan-Yu Hsu 1 University, Taiwan PB-4 Fabrication and Photovoltaic Properties of Dye-sensitized Solar Cells Based on Graphene -TiO 2 Composite Photoelectrodes with ZnO Nanowires Pei-Hong You 1, Jung-Chuan Chou 1, Yi-Hung Liao 2, Chih-Hsien Lai1 1, Yu-Jen Lin 1, Wan-Yu Hsu 1, Chang-Chai Lu 1, Jian-Hong Guo 1 and Yu-Hsun Nien 1 University, Taiwan PB-5 Performance Enhancement of Magnetic Beads-Graphene Oxide-TiO 2 Composite Film on ZnO Nanowires for DSSCs Pei-Hong You 1, Jung-Chuan Chou 1, Yi-Hung Liao 2, Chih-Hsien Lai1 1, Yu-Jen Lin 1, Wan-Yu Hsu 1, Chang-Chai Lu 1, Jian-Hong Guo 1 and Yu-Hsun Nien 1 University, Taiwan PB-6 Non-halogen Inverted ssmall Molecule Solar Cells Ming-Yi Lin 1, Widhya Budiawan 2,3, and Chih-Wei Chu 2 1 Chung Yuan Christian University, 2 Academia Sinica and 3 National Tsing Hua University, Taiwan PB-7 Flexible Dye-sensitized Solar Cells with Silver Nano Wires Jian-Yang Lin, Chih-Yao Chen, Ling-Chuan Hsieh National Yunlin University of Science and Technology, Taiwan November 25 PB-9 Electro-Impedance Sensing by Surface-Potential Effect with Coplanar Nano-Gap Electrodes Fan-En Chen, Hsiao-Ting Hsueh, Po-Han Chen, Ming-Shue Tsai, and Chih-Ting Lin National Taiwan University, Taiwan PB-10 Fabrication of High Performance Triboelectric Nanogenerator by Hydrothermal Method and Nanoimprint Lithography Chun-Hung Chen and, Chuan-Pu Liu National Cheng Kung University, Taiwan PB-11 Study of Low-intensity Photovoltaic CIGS Solar Cells for Mobile-phone Charging Applications Wu-Shiung Feng, Chzu-Chiang Tseng, Liann-Be Chang, and Gwomei Wu Chang Gung University, Taiwan PB-12 Development of PMN-PT High Performance Piezoelectric Energy Harvester Based on Aerosol Deposition Chao-Ting Chen, Wei-Ting Shih, Shih-Chao Lin, Wen-Jong Wu National Taiwan University, Taiwan PB-13 Influence of [Cu]/[Cu+In] Molar Ratio in Precursor Solution on the Growth and Photoelectrochemical Properties of CuInS 2 Photo-absorbers Chun-Ting Li 1, Kong-Wei Cheng 1,2 1 Chang Gung University, Taiwan and 2 Chang Gung Memorial Hospital, Taiwan PB-14 Effect of Sn Content on the Formation of MoSe 2 Thin Films. Liyong Yao 1, Jianping Ao 1, Ming-Jer Jeng 2,3, Jinlian Bi 1, Shoushuai Gao 1, Guozhong Sun 1, Qing He 1, Zhiqiang Zhou 1, Yun Sun 1 and Liann-Be Chang 2,3 1 Nankai University, P. R. China, 2 Chang Gung University and 3 Chang Gung Memorial Hospital, Taiwan PB-15 Fabrication and Properties of Graphene Electron Transporting Layers for Dye-sensitized Solar Cell Chun-Hsin Wang, Hsueh-Tao Chou, Ho-Chun Hsu, Shi-Ting Chen, Hsin-Chang Liu National Yunlin University of Science and Technology, Taiwan PB-16 Effect of ZnO Nanorods Grown with Various Zinc Nitrate Concentration on Dye-sensitized Solar Cells Performance Jui-Fu Yang 1, Shou-Yi Kuo 1,2, Fang-I Lai 3,4 1 Chang Gung University, 2 Chang Gung Memorial Hospital, 3 Yuan-Ze University and 4 National Cheng-Kung University, Taiwan PB-17 Preparation and Photovoltaic Performances of Dye-sensitized Solar Cells with an Au Compact Layer Hsueh-Tao Chou, Yi-Wen Chen, Chun-Hsin Wang, Ho-Chun Hsu National Yunlin University of Science and Technology, Taiwan 11

12 PB-18 Transparent Conductive Graphene Electrodes for UV LED Hao-Yu Chen, Chang-Tai Hisao, and Shu-Fen Hu National Taiwan Normal University, Taiwan Area D Nano Devices and Materials, Displays, and Sensor (40 papers) November 25 PB-19 The characterization of p-type Si Decorated by Molybdenum Disulfide Doped Phosphorus(MoP x S y ) as a Photocathode forsolar Water Splitting I-Shiou Wu, Kai-Chih Yang, and Shu-Fen Hu National Taiwan Normal University, Taiwan PB-20 A Novel design of P implanted regions for Power MOSFET Sheng-Chung Wang, Kung-Yen Lee, Yi-Che Su, Wen-Bin Ye National Taiwan University, Taiwan PB-21 Novel Square Array 4H-SiC JBS with Enhanced Forward Current Density and Reverse Breakdown Voltage Kuan-Yen Lee, Sheng-Chung Wang, Yuan-Heng Liu and Le-Shan Chan, Yi-Che Su, Wen-Bin Ye National Taiwan University, Taiwan PB-22 Flexible All-Solid-State Thin Film Batteries Chun-Yen Wu, Yu-Kai Liao, and Shu-Fen Hu National Taiwan Normal University, Taiwan PB-23 Heteroelectrode Structure for Solar Water Splitting: Integrated Cobalt Ditelluride across TiO 2 -passivated Silicon Microwire Array Yu-Chen Lin and Shu-Fen Hu National Taiwan Normal University, Taiwan PB-24 Investigation of Interface Engineering for Organic Solar Cells with Higher Open-circuit Voltage Chien-Jung Huang 1, Pao-Hsun Huang 2, Chien-Wu Huang 1, Yeong-Her Wang 2 and Chih-Chieh Kang 3 1 National University of Kaohsiung, 2 National Cheng-Kung University and 3 Southern Taiwan University of Technology, Taiwan PB-25 Enhanced Color-Conversion Efficiency of Quantum Dots via Nanostructured Light-Emitter Diodes Che-Yu Liu,Tzu-Pei Chen, Yu-Kuang Liao, Hao-Chung Kuo PB-26 Low Temperature Fabrication of Flexible Dye-Sensitized Solar Cells with Composite Transparent Conductive ITiO/Ag/ITiO Electrodes Hsin -Chun Lu, Wan-Yu Hung, Jui-Cheng Shen, Guan-Rong Pan, Pradeep Kumar Panda Chang Gung University, Taiwan PB-27 The Enhancement of the Solar Cells Efficiency by Incorporating the Gold Nanoparticles In TolueneS Ying-Chang Li, Ming-Jer Jeng, Zih-Yang Chen, Chien-Ming Lu, and Liann-Be Chang Chang Gung University, Taiwan PD-1 Complementary Inverters Composed of SnO and SnO 2 Thin-Film Transistors Using a Single-Step Deposition of the Channel Layer Yun-Shiuan Li, Hao-Lin Yang, and I-Chun Cheng National Taiwan University, Taiwan PD-2 A Grating-based Photonic Temperature Sensor Implemented by Standard 90-nm Bulk CMOS Process Tse-Hung Chen 1, Keng-Hsien Lin 1, Chong-Jia Wu 1, Ming-Ju Tsai 2, San-Liang Lee 2, and Yung-Jr Hung 1 1 National Sun Yat-sen University and 2 National Taiwan University of Science and Technology, Taiwan PD-3 Saturation Current Coupling Phenomenon in MIS(p) Tunnel Diodes Ming-Han Yang and Jenn-Gwo Hwu National Taiwan University, Taiwan PD-4 Effect of Fringing Field on the Electrical Characteristics of MIS Tunnel Diode with Sidewall Passivated Metal Gate Chia-Ju Chou and Jenn-Gwo Hwu National Taiwan University, Taiwan PD-5 Comparison of Arrayed Flexible Indium Gallium Zinc Oxide Lactate Biosensor Modified by Different Magnetic Beads Contents Hsiang-Yi Chen 1, Jung-Chuan Chou 1, Yi-Hung Liao 2, Chih-Hsien Lai1 1, Siao-Jie Yan 1 University, Taiwan PD-6 Measurement and Equivalent Circuit Analysis of IGZO/Al Urea Biosensor Modified by Graphene Oxide Hong-Yu Huang 1, Jung-Chuan Chou 1, Yi-Hung Liao 2, Chih-Hsien Lai 1 and Jian-Syun Chen 1 University, Taiwan PD-7 Comparison of Different GPTS Contents for Arrayed Flexible Lactate Biosensor with LDH and NAD+ Co-immobilized on NiO Film Siao-Jie Yan 1, Jung-Chuan Chou 1, Yi-Hung Liao 2, Chih-Hsien Lai 2 Jian-Syun Chen 1, Hsiang-Yi Chen 1, Cian-Yi Wu 1 and You-Xiang Wu 1 University, Taiwan PD-8 Non-Ideal Effects of Arrayed Flexible IGZO/Al Chloride Ion Sensor Modified by Graphene Oxide Ting-Wei Tseng 1, Jung-Chuan Chou 1, Yi-Hung Liao 2, Chih-Hsien Lai 1, Jian-Syun Chen 1, Tong-Yu Wu 1, and Siao-Jie Yan 1 University, Taiwan 12

13 PD-9 Investigation of the Non-Ideal Effects of Flexible Arrayed RuO 2 /GO Chlorine Sensor Tong-Yu Wu 1, Shi-Chang Tseng 1, Jung-Chuan Chou 1, Yi-Hung Liao 2, Chih-Hsien Lai 1, Jian-Syun Chen 1, Min-Siang Huang=1 and Ting-Wei Tseng 1 University, Taiwan PD-10 A New Model Explaining the Saturation Current of Nano-MOSFETs Wei-Lun Wang 1, Heng-Sheng Huang 1, Win-Der Lee 2, Shuang-Yuan Chen 1, Yu-Hao Chao 1, Mu-Chun Wang 1,3, Shea-Jue Wang 1 1 National Taipei University of Technology, 2 Lee-Ming Institute of Technology and 3 Minghsin University of Science & Technology, Taiwan PD-11 Temperature Effects in Gate-All-Around Poly-Si Nanowire Junctionless Transistor with Twin 20-nm Gates Tung-Yu Liu, Ru-Zheng Lin and Jeng-Tzong Sheu National Chiao-Tung University, Taiwan PD-12 ZnO Nanorod Film Prepared on Sapphire by Nitric Acid Assisted Aqueous Solution Deposition Ming-Kwei Lee, Hao-Yu Wang, Cheng-Yu Kung, Hao-Wei Weng Chung Yuan Christian University, Taiwan PD-13 Early Effect of Nano p-channel FinFETs Biased at Middle Gate Field Mu-Chun Wang 1, Wen-Yang Huang 1, Hsu-Hsin Fu 1, Chao-Nan Wei 2, Jhen-Wei Tien 1, Shea-Jue Wang 3, Wen-How Lan 4 1 Minghsin University of Science and Technology, 2 Chung-Shan Institute of Science & Technology, 3 National Taipei University of Technology and 4 National University of Kaohsiung, Taiwan PD-14 P-Type Al-Doped Tin-Oxide Thin Film Transistors with Fluorine Plasma Treatment Po-Chun Chen 1, Zhi-Wei Zheng 2, Yu-Chien Chiu 3, Guan-Lin Liou 4, Chun-Hu Cheng 4 and Yung-Hsien Wu 1 1 National Tsing Hua University, Taiwan, 2 Xiamen University, China, 3 National Chiao Tung University and 4 National Taiwan Normal University, Taiwan PD-15 High Performance Short Channel Amorphous Indium-Gallium-Zinc-Oxide TFT with HfO2 Gate Insulator Yu-Shien Shiah, Ching-Lin Fan, Yu-Huan Deng, Fan-Ping Tseng, and Chiao-Yuan Tseng National Taiwan University of Science and Technology, Taiwan PD-16 Plasma Abnormality Detection using a Wide-angle Lens for Process Reliability Enhancement In Joong Kim, and Ilgu Yun Yonsei University, Republic of Korea PD-17 Photoelectrochemical Hydrogen Generation by Gallium oxide Nanowires Ya-Ling Wu, Shoou-Jinn Chang, Sheng-Po Chang National Cheng Kung University, Taiwan November 25 PD-18 Influence of Grain Boundary Position on On-Current of Lowtemperature Polycrystalline Silicon Driving Thin-Film Transistor for AMOLED Display Min Soo Bae, Ilgu Yun Yonsei University, Republic of Korea PD-19 The Effect of Gate Separation on Low Temperature Polycrystalline Silicon Thin Film Transistors by Mechanical Stress Chuntaek Park, Ilgu Yun Yonsei University, Republic of Korea PD-20 Self-aligned Top-Gated Zinc-Oxide TFTs Yu-Shan Lin 1, You-Tai Chang 1, Chun-Jung Su 2, Pei-Wen Li 1, and Horng-Chih Lin 1 1 National Chiao Tung University and 2 National Nano Device Laboratories, Taiwan PD-21 Fabrication of a Suspended Six-port Transformer-based Power Divider Utilizing MEMS Technology I-Yu Huang, Wen-Hui Huang, Chia-Lung Sung, Chia-Hsu Hsieh, Je-Wei Lan National Sun Yat-sen University, Taiwan PD-22 Characteristics of PVDF-TrFE Ferroelectric Capacitor with Optimized Crystallization Annealing Yi-Pei Jiang 1, Shun-Hsiang Chan 1, Jer-Chyi Wang 1,2,3, and Ming-Chung Wu 1 1 Chang Gung University, 2 Chang Gung Memorial Hospital and 3 Ming Chi University of Technology, Taiwan PD-23 Characterization of Poly-Si Tunnel Field-Effect Transistors with Different Source/Drain Structures Ruei-Jen Wu and William Cheng-Yu Ma National Sun Yat-sen University, Taiwan PD-24 Ferroelectric of HfO 2 Dielectric Layer Doped and Sputtered with Zr Layer for Metal-insulator-metal Capacitors P.Y. Huang 1, C.T. Hung 1, T.Y. Shih 1, P.C. Juan 2, K.C. Lin 3 and C.H. Liu 1 1 National Taiwan Normal University, 2 Ming Chi University and 3 Ming Chuan University, Taiwan PD-25 G-PEDOT:PSS Thin-Film Structures for Flexible Electronics Cheng-Ying Chou, Kai-Rong Gan, Shin-Yen Chou, Tien-Li Chang National Taiwan Normal University, Taiwan PD-26 Comparison of Nano-Node n-channel FinFETs and 28nm HK/MG nmosfets Ko-Chin Hsu 1, Mu-Chun Wang 1,2, Heng-Sheng Huang 1, Zih-Yang Rao 2, Shea-Jue Wang 1 1 National Taipei University of Technology and 2 Minghsin University of Science & Technology, Taiwan PD-27 Synthesis and Stability of ZnO Nanoparticles by Oxidation Process Feng Jia, Yu-Ling Shih, I-Chuan Chung and Chuen-Jinn Tsai 13

14 PD-28 Flexible Substrate Light-addressable Potentiometric Sensor Chun-Hui Chen 1, Wei-Yu Cherng 2, Chia-Ming Yang 1, and Jung-Ruey Tsai 2 1 Chang Gung University and 2 Asia University, Taiwan PD-29 Enhancing the Device Performance of Transparent Thin-Film Transistors with a Ti-doped GaZnO/ InGaZnO/ Ti-doped GaZnO Sandwich Composite-Channel Structure Wei-Sheng Liu, Chih-Wei Wang, Yi-Hung Lin, Chien-Lung Huang, Yi-Ming Chu and Shi-Yuan Chen Yuan Ze University, Taiwan November 25 PD-35 Comparison and Properties of ZnO Nanorods Array Film Prepared in Various Temperatures Sung-Han Chiang, Hsueh-Tao Chou, Tien-Ming Wu, Ho-Chun Hsu, Yi-Keng Yu, Wei-Hao Huang National Yunlin University of Science and Technology, Taiwan PD-36 Development of a Capacitive Sensing Technology for the Measurement of Perpendicularity of Narrow Deep Slot-wall of Micromold Shun-Tong Chen, Sheng-min Lin National Taiwan Normal University, Taiwan PD-30 Sb Aggregation for Tailoring Energy Band Alignment of Vertically Aligned InGaAs/GaAs(Sb)/AlGaAsSb Composite Quantum Dot Structure after Thermal Annealing Treatment Wei-Sheng Liu, Ren-Yo Liu, Hsiang-Yu Hsiao Yuan Ze University, Taiwan PD-31 Au/TiO 2 Composite Film Modified ITO Substrate for Environmental sensing Yen-Zhi Lin, Hsueh-Tao Chou, Yi-Keng Yu, Wei-Hao Huang, Tien-Ming Wu, Ho-Chun Hsu National Yunlin University of Science and Technology, Taiwan PD-32 HfO 2 Grown on Si with H 2 O Vapor Pretreatment by Atomic-layer Deposition Yo-Chih Tsou 1, Chun-Jung Su 2, Yao-Jen Lee 2, Fu-Ju Hou 2, Po-Jung Sung 2, Chih-Jen Wang 2, Wen-Fa Wu 2, Wen-Kuan Yeh 2, and Yeong-Her Wang 1 1 National Cheng-Kung University and 2 National Nano Device Laboratories, Taiwan PD-33 Effects of ZnO Nanorods by Double Hydrothermal Synthesis for Ethanol Sensing Applications Feng-Renn Juang, Chia-Pin Hsaio, Tsung-Lun Tsai, De-Wei Chou, and Chieh-Chih Hsiao National Sun Yat-sen University, Taiwan PD-37 New Approach of Graphene CMOS-MEMS Gas Sensor with Dip-Coating under Positive Electric Field Yi-Jui Chang, Chin-Hsiung Shen, and Shu-Jung Chen National Changhua University of Education, Taiwan PD-38 Optical investigation of Au-modified ZnO Nanorods Composite Films on ITO Glass You-lun Deng, Hsueh-Tao Chou, Wei-Hao Huang, Tien-Ming Wu, Yi-Keng Yu, Ho-Chun Hsu National Yunlin University of Science and Technology, Taiwan PD-39 Gate Leakage for Nano-node nmosfets and n-channel FinFETs Zih-Yang Rao 1, Mu-Chun Wang 1, Wen-Shiang Liao 2, Ko-Chin Hsu 3 1 Minghsin University of Science and Technology, Taiwan, 2 Hubei University, PR China and 3 National Taipei University of Technology, Taiwan PD-40 Study of vertically aligned InGaAs quantum dots capped with GaAs(Sb)/AlGaAsSb composite structure Wei-Sheng Liu, Ren-Yo Liu, Hsiang-Yu Hsiao, Di-Ting Lin Yuan Ze University, Taiwan PD-34 Characterization on Fluorine Ion Implantation Applied in NbO x -LAPS Wei-Yin Zeng 1, Yu-Ping Chen 1, and Yu-Jing Lin 2, Chia-Ming Yang 1,3, Jung-Ruey Tsai 2, and Chao-Sung Lai 1,3,4 1 Chang Gung University, 2 Asia University, 3 Chang Gung Memorial Hospital and 4 Ming-Chi University of Technology, Taiwan 14

1. The Subject and Aims of Research Silicon nanowires-based solar cells: Si-based solar cells Pyramid Silicon nanowire (SiNW) SiNW/pyramid

1. The Subject and Aims of Research Silicon nanowires-based solar cells: Si-based solar cells Pyramid Silicon nanowire (SiNW) SiNW/pyramid Optoelectronics and Semiconductor Group Professor Bohr-Ran Huang Ph.D., Michigan State University, U.S.A. Field of study: nanomaterials and devices, optoelectronic thin films devices, biophotonics and

More information

No. Name College Major Email 1 Ke Yang Chuan College of Science Chemistry key@cup.edu.cn 2 Wang Li Qun College of Science Mathematics wliqunhmily@gmail.com 3 Xu Tao College of Science Mathematics xutao@cup.edu.cn

More information

IAM2016W Program. January 27, 2016 (Wednesday) 15:00-16:30 Registration (Lobby) January 29, 2016 (Friday) 09:30-15:00 Registration

IAM2016W Program. January 27, 2016 (Wednesday) 15:00-16:30 Registration (Lobby) January 29, 2016 (Friday) 09:30-15:00 Registration IAM2016W Program January 27, 2016 (Wednesday) 15:00-16:30 Registration (Lobby) January 28, 2016 (Thursday) 09:30-15:00 Registration 10:00-12:00 Session A 13:30-15:30 Session B January 29, 2016 (Friday)

More information

A. Positions and Honors

A. Positions and Honors BIOGRAPHICAL SKETCH NAME in English Chao-Sung Lai NAME in Chinese 賴朝松 POSITION TITLE Professor, Department of Electronic Engineering EDUCATION/TRAINING INSTITUTION AND LOCATION DEGREE (if applicable) MM/YY

More information

Program Overview. DAY 1/ Jan. 7 th, :00~16:00 Registration. 16:00~17:30 NTUMSE Laboratory Tour. 18:00~20:00 Banquet, Taipei 101

Program Overview. DAY 1/ Jan. 7 th, :00~16:00 Registration. 16:00~17:30 NTUMSE Laboratory Tour. 18:00~20:00 Banquet, Taipei 101 Program Overview DAY 1/ Jan. 7 th, 2015 15:00~16:00 Registration 16:00~17:30 NTUMSE Laboratory Tour 18:00~20:00 Banquet, Taipei 101 DAY 2/ Jan. 8 th, 2015 08:30~08:40 Opening Talk, Dean Jia-Yush Yen, School

More information

Poster Session 1. Room: 4F, Building B

Poster Session 1. Room: 4F, Building B Poster Session 1 Time:13:30-15:00 Guoqing Ma, Yantai University, China Jieh-Shian Young, National Changhua University of Education, Taiwan 1005 ESTABLISHING A SMART HOME BASED ON NI MYRIO Kai-chao Yao,

More information

Session A1. Room: College of Management Bldg.104 Chair: Prof. B.Y. Leu. Time No. Author(s) Title Page

Session A1. Room: College of Management Bldg.104 Chair: Prof. B.Y. Leu. Time No. Author(s) Title Page Session A1 Room: College of Management Bldg.104 Chair: Prof. B.Y. Leu 1 Shih-Wei Lan, Chung Yeh Machine tool plant implementing TPM to improve Overall Equipment Efficiency (OEE) 28 13 Jhao- Yu Meng, Ji-Cyuan

More information

Supplementary Information

Supplementary Information Supplementary Information Negative voltage modulated multi-level resistive switching by using a Cr/BaTiO x /TiN structure and quantum conductance through evidence of H 2 O 2 sensing mechanism Somsubhra

More information

The Analysis of Chinese Authors Papers in Library and Information Science. Chen Yuecong1, a

The Analysis of Chinese Authors Papers in Library and Information Science. Chen Yuecong1, a 2nd International Conference on Economy, Management and Education Technology (ICEMET 2016) The Analysis of Chinese Authors Papers in Library and Information Science Chen Yuecong1, a 1 Library of North

More information

Oral Presentation Session

Oral Presentation Session Oral Presentation Session 2016 International Conference on ICHTL May 6 th Session NO Title Aurthor 0002 Promoting hospitality students career decision making self-efficacy based on intern experiences Chung-Jen

More information

國際期刊 SCI 論文 Wei-Lung Chou* Accepted in October, 2009 (SCI, IF=1.155, 2008) (Corresponding author) Wei-Lung Chou* Accepted in September, 2009

國際期刊 SCI 論文 Wei-Lung Chou* Accepted in October, 2009 (SCI, IF=1.155, 2008) (Corresponding author) Wei-Lung Chou* Accepted in September, 2009 SCI 1. Wei-Lung Chou*, Chih-Ta Wang, Kai-Yu Huang, Investigation of process parameters for the removal of polyvinyl alcohol from aqueous solution by iron electrocoagulation, Desalination, Accepted in October,

More information

The Effects of Sapphire Substrates Processes to the LED Efficiency

The Effects of Sapphire Substrates Processes to the LED Efficiency The Effects of Sapphire Substrates Processes to the LED Efficiency Hua Yang*, Yu Chen, Libin Wang, Xiaoyan Yi, Jingmei Fan, Zhiqiang Liu, Fuhua Yang, Liangchen Wang, Guohong Wang, Yiping Zeng, Jinmin Li

More information

COUNTRY SECTION. Taiwan Fishery products. Validity date from 17/04/2018 Date of publication 04/04/2018. List in force

COUNTRY SECTION. Taiwan Fishery products. Validity date from 17/04/2018 Date of publication 04/04/2018. List in force COUNTRY SECTION Taiwan Fishery products Validity date from 17/04/2018 Date of publication 04/04/2018 00345 List in force 2F30017 I-Mei Frozen Foods Company Limited Su-Ao Township Yilan County 2F30036 Hsien-Pin

More information

High-Mobility InSe Transistors: the Role of Surface Oxides

High-Mobility InSe Transistors: the Role of Surface Oxides Supporting information of High-Mobility InSe Transistors: the Role of Surface Oxides Po-Hsun Ho, Yih-Ren Chang, Yu-Cheng Chu, Min-Ken Li, Che-An Tsai, Wei-Hua Wang, Ching-Hwa Ho, ± Chun-Wei Chen and Po-Wen

More information

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan Amorphous In 2 O 3 -Ga 2 O 3 -ZnO Thin Film Transistors and Integrated Circuits on Flexible and Colorless Polyimide Substrates Hsing-Hung Hsieh, and Chung-Chih Wu* Graduate Institute of Electronics Engineering,

More information

Wind Energy And Wind Power Technology (2) By ZHANG ZHI YING?ZHAO PING?LI YIN FENG DENG

Wind Energy And Wind Power Technology (2) By ZHANG ZHI YING?ZHAO PING?LI YIN FENG DENG Wind Energy And Wind Power Technology (2) By ZHANG ZHI YING?ZHAO PING?LI YIN FENG DENG If you are searched for the ebook Wind energy and wind power technology (2) by ZHANG ZHI YING?ZHAO PING?LI YIN FENG

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

th IEEE International Conference on Solid-State and Integrated Circuit Technology Proceedings

th IEEE International Conference on Solid-State and Integrated Circuit Technology Proceedings ICSICT-2010 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology Proceedings Nov.1-4, 2010, Shanghai, China Editors: Ting-Ao Tang and Yu-Long Jiang IEEE Press IEEE Catalog

More information

6 B-P B-P B-P C-P C-P C-P C-P C-P B-P C-P C-P C-P-08 Yung-Lan Yeh

6 B-P B-P B-P C-P C-P C-P C-P C-P B-P C-P C-P C-P-08 Yung-Lan Yeh Poster Presentation 2 (Session B& C) Present Time and Duration: 13:00-14:30, October 19 Location: E-13 Lobby Poster dimensions :100 cm high x 80 cm wide.(maximum) Easychair No. ISNST2017 ID Authors Title

More information

2017 Qualifying Examination

2017 Qualifying Examination B1 1 Basic Molecular Genetics Mechanisms Dr. Ueng-Cheng Yang Molecular Genetics Techniques Cellular Energetics 24 2 Dr. Dar-Yi Wang Transcriptional Control of Gene Expression 8 3 Dr. Chuan-Hsiung Chang

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 6, December 2013

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 6, December 2013 ISSN: 2277-3754 Fabrication and Characterization of Flip-Chip Power Light Emitting Diode with Backside Reflector Ping-Yu Kuei, Wen-Yu Kuo, Liann-Be Chang, Tung-Wuu Huang, Ming-Jer Jeng, Chun-Te Wu, Sung-Cheng

More information

The Effect of Heat Treatment on Ni/Au Ohmic Contacts to p-type GaN

The Effect of Heat Treatment on Ni/Au Ohmic Contacts to p-type GaN Li-Chien Chen et al.: The Effect of Heat Treatment on Ni/Au Ohmic Contacts 773 phys. stat. sol. (a) 176, 773 (1999) Subject classification: 73.40.Cg; S7.14 The Effect of Heat Treatment on Ni/Au Ohmic Contacts

More information

Wind Energy And Wind Power Technology (2) By ZHANG ZHI YING?ZHAO PING?LI YIN FENG DENG

Wind Energy And Wind Power Technology (2) By ZHANG ZHI YING?ZHAO PING?LI YIN FENG DENG Wind Energy And Wind Power Technology (2) By ZHANG ZHI YING?ZHAO PING?LI YIN FENG DENG Chinese Physics Letters, Volume 26, Number 1, - Number 1, January 2009 Zhou Xu-Rong, Zhang Xiao-Min, Yu Tong-Jun,

More information

SUPPLEMENTARY INFORMATIONS

SUPPLEMENTARY INFORMATIONS SUPPLEMENTARY INFORMATIONS Dynamic Evolution of Conducting Nanofilament in Resistive Switching Memories Jui-Yuan Chen, Cheng-Lun Hsin,,, Chun-Wei Huang, Chung-Hua Chiu, Yu-Ting Huang, Su-Jien Lin, Wen-Wei

More information

Development of the equipments for nano photonic crystal

Development of the equipments for nano photonic crystal Development of the equipments for nano photonic crystal Wen-Yuh Jywe, Jing-Chung Shen, Chien-Hung Liu, Shang-Liang Chen, Tung Hsien Hsieh, Li-Li Duan, Chen-Hua She Department of Automation Engineering

More information

structure of silicon nanowire arrays for a hydrogen gas sensor, accepted by Nanotechnology. (Impact factor: 3.842, cited: 0)

structure of silicon nanowire arrays for a hydrogen gas sensor, accepted by Nanotechnology. (Impact factor: 3.842, cited: 0) Current h-index: 15 1. Bohr-Ran Huang, Ying-Kan Yang, Hsien-Lung Cheng, Rice-straw-like structure of silicon nanowire arrays for a hydrogen gas sensor, accepted by Nanotechnology. (Impact factor: 3.842,

More information

SPIE NTU Student Chapter activities

SPIE NTU Student Chapter activities SPIE NTU Student Chapter activities Names and affiliations of current officers: President, Yuan Hsing Fu, yhfu@phys.ntu.edu.tw Vice-President, Tai Chi Chu, d91222023@ntu.edu.tw Secretary, Hung Ji Huang,

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Light enhancement by the formation of an Al-oxide honeycomb nano-structure on the n-gan surface of thin-gan light-emitting diodes

Light enhancement by the formation of an Al-oxide honeycomb nano-structure on the n-gan surface of thin-gan light-emitting diodes Light enhancement by the formation of an Al-oxide honeycomb nano-structure on the n-gan surface of thin-gan light-emitting diodes C. L. Lin, P. H. Chen Department of Chemical and Materials Engineering,

More information

Proceedings of rd International Asia Conference on Industrial Engineering and Management Innovation(IEMI2012)

Proceedings of rd International Asia Conference on Industrial Engineering and Management Innovation(IEMI2012) Proceedings of 2012 3rd International Asia Conference on Industrial Engineering and Management Innovation(IEMI2012) Runliang Dou Editor Proceedings of 2012 3rd International Asia Conference on Industrial

More information

IAM2017W Program. Feb. 8, 2017 (Wednesday) 08:00-16:00 Registration HATSUNE 初音 (5F) Feb. 9, 2017 (Thursday) 08:00-16:00 Registration

IAM2017W Program. Feb. 8, 2017 (Wednesday) 08:00-16:00 Registration HATSUNE 初音 (5F) Feb. 9, 2017 (Thursday) 08:00-16:00 Registration IAM2017W Program Feb. 7, 2017 (Tuesday) 15:00-16:30 Registration (HATSUNE 初音, 5F) Room 08:30-10:10 Session A Feb. 8, 2017 (Wednesday) 08:00-16:00 Registration HATSUNE 初音 (5F) 10:10-10:30 Social Time (Refreshment)

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

李志偉著作目錄 ( ) SCI/EI 期刊論文

李志偉著作目錄 ( ) SCI/EI 期刊論文 SCI/EI 期刊論文 李志偉著作目錄 (2009-2014) 1. Yueh-Chien Lee, Sheng-Yao Hu, Walter Water, Kwong-Kau Tiong, Zhe-Chuan Feng, Yen-Ting Chen, Jen-Ching Huang, Jyh-Wei Lee, Chia-Chih Huang, Jyi-Lai Shen, Mou-Hong Cheng,

More information

The low dislocation gallium nitride layer by AP-MOCVD. Abstract

The low dislocation gallium nitride layer by AP-MOCVD. Abstract The low dislocation gallium nitride layer by AP-MOCVD Fu-Chuan Chu, Sheng-Fu Yu, Chao-Hung Chen, Chou-Shuang Huang, Ray-Ming Lin* Dept. of Electronics Engineering, Chang Gung University, Taoyuan, Taiwan,

More information

Selenization of CIGS Films with Different Cu-In-Ga Alloy Precursors

Selenization of CIGS Films with Different Cu-In-Ga Alloy Precursors Available online at www.sciencedirect.com Procedia Engineering 36 (2012 ) 41 45 IUMRS-ICA 2011 Selenization of CIGS Films with Different Cu-In-Ga Alloy Precursors Wei-Ting Lin a, Sheng-Hui Chen a *, Shin-Hao

More information

4. Hsueh-Chuan Hsu, Shih-Ching Wu, Shih-Kuang Hsu, Chih-Cheng Hsu, Wen-Fu Ho (2016)

4. Hsueh-Chuan Hsu, Shih-Ching Wu, Shih-Kuang Hsu, Chih-Cheng Hsu, Wen-Fu Ho (2016) Name:Shih-Kuang Hsu Education: Ph. D., Institute of molecular biology, National Chung-Hsing University M. D., Institute of molecular biology, National Chung-Hsing University B. D., Department of Botany,

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

Heat Transfer Characteristics in High Power LED Packaging

Heat Transfer Characteristics in High Power LED Packaging Heat Transfer Characteristics in High Power LED Packaging Chi-Hung Chung 1, Kai-Shing Yang 2,*, Kuo-Hsiang Chien 2, Ming-Shan Jeng 2 and Ming-Tsang Lee 1, 1 Department of Mechanical Engineering, National

More information

Passivation of InAs and GaSb with novel high dielectrics

Passivation of InAs and GaSb with novel high dielectrics Passivation of InAs and GaSb with novel high dielectrics Professor Minghwei HONG Department of Materials Science and Engineering, National Tsing Hua University 101, Section 2, Kuang-Fu Rd., Hsinchu, Taiwan,

More information

Supplementary Material for. Dual-functional Memory and Threshold. Resistive Switching Based on the Push-Pull. Mechanism of Oxygen Ions

Supplementary Material for. Dual-functional Memory and Threshold. Resistive Switching Based on the Push-Pull. Mechanism of Oxygen Ions Supplementary Material for Dual-functional Memory and Threshold Resistive Switching Based on the Push-Pull Mechanism of Oxygen Ions Yi-Jen Huang 1, Shih-Chun Chao 2, Der-Hsien Lien 1, Cheng-Yen Wen 2,4,

More information

IAM2018W Program. Jan. 30, 2018 (Tuesday) 15:30-16:30 Registration (Lobby, 1F) Jan. 31, 2018 (Wednesday) 07:30-17:00 Registration

IAM2018W Program. Jan. 30, 2018 (Tuesday) 15:30-16:30 Registration (Lobby, 1F) Jan. 31, 2018 (Wednesday) 07:30-17:00 Registration IAM2018W Program Jan. 30, 2018 (Tuesday) 15:30-16:30 Registration (Lobby, 1F) Room Jan. 31, 2018 (Wednesday) 07:30-17:00 Registration Olive Ⅰ (3F) 08:05-09:50 Session A 09:50-10:10 Social Time (Refreshments)

More information

Magnetic patterning: local manipulation of the intergranular. exchange coupling via grain boundary engineering

Magnetic patterning: local manipulation of the intergranular. exchange coupling via grain boundary engineering Magnetic patterning: local manipulation of the intergranular exchange coupling via grain boundary engineering Kuo-Feng Huang, 1 Jung-Wei Liao, 1 Cheng-Yu Hsieh, 2 Liang-Wei Wang, 1 Yen-Chun Huang, 1 Wei-Chih

More information

Shyh-Ming Huang ( 黃識銘 )

Shyh-Ming Huang ( 黃識銘 ) Shyh-Ming Huang ( 黃識銘 ) Department of Marketing and Logistics Management Southern Taiwan University of Science Technology No. 1, Nan-Tai Street, Yongkang Dist., Tainan City 71005, Taiwan Office: T1128

More information

Chang Gung University, Tao-Yuan, 333, Taiwan. Industrial Technology Research Institute, Hsinchu 310, Taiwan. Fax:

Chang Gung University, Tao-Yuan, 333, Taiwan. Industrial Technology Research Institute, Hsinchu 310, Taiwan. Fax: 10.1149/1.3700903 The Electrochemical Society Impact of High-κ TaO x Thickness on the Switching Mechanism of Resistive Memory Device Using IrO x /TaO x /WO x /W Structure A. Prakash a, S. Maikap a,*, W.

More information

JOURNAL PAPERS [SCI IF=2.788, 29/122, RADIOLOGY, NUCLEAR MEDICINE & MEDICAL IMAGING] 共 5 頁, 第 1 頁

JOURNAL PAPERS [SCI IF=2.788, 29/122, RADIOLOGY, NUCLEAR MEDICINE & MEDICAL IMAGING] 共 5 頁, 第 1 頁 JOURNAL PAPERS [SCI IF=4.338, 13/122, RADIOLOGY, NUCLEAR MEDICINE & MEDICAL IMAGING] 1. Jui-Hsun Fu, Tzu-Chao Chuang, Hsiao-Wen Chung, Hing-Chiu Chang, Huey-Shyan Lin, Shu-Shong Hsu, Po-Chin Wang, Shuo-Hsiu

More information

LOW-TEMPERATURE poly-si (LTPS) thin-film transistors

LOW-TEMPERATURE poly-si (LTPS) thin-film transistors IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 51, NO. 1, JANUARY 2004 63 Performance and Reliability of Low-Temperature Polysilicon TFT With a Novel Stack Gate Dielectric and Stack Optimization Using PECVD

More information

Ultra-high-performance of Self-Powered

Ultra-high-performance of Self-Powered Ultra-high-performance of Self-Powered β-ga 2 Thin Film Solar-blind Photodetector Grown on Cost-Effective Si Substrate using High-Temperature Seed Layer Kanika Arora, Neeraj Goel #, Mahesh Kumar # and

More information

Influence of Plasma Treatment to the Performance of Amorphous IGZO based Flexible Thin Film Transistors

Influence of Plasma Treatment to the Performance of Amorphous IGZO based Flexible Thin Film Transistors Article Influence of Plasma Treatment to the Performance of Amorphous IGZO based Flexible Thin Film Transistors Long-long Chen, Xiang Sun, Ji-feng Shi, Xi-feng Li *, Xing-wei Ding and Jian-hua Zhang *

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Research Paper. Isothermal solidification bonding of Bi2Te2.55Se0.45 thermoelectric material with Cu electrodes

Research Paper. Isothermal solidification bonding of Bi2Te2.55Se0.45 thermoelectric material with Cu electrodes Engineering & Technology Research 3(3): 000-000, February 2019 DOI: 10.15413/etr.2019.0001 2019 Academia Publishing Research Paper Isothermal solidification bonding of Bi2Te2.55Se0.45 thermoelectric material

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

Effect of Post-Deposition Treatment on Characteristics of P-channel SnO

Effect of Post-Deposition Treatment on Characteristics of P-channel SnO Effect of Post-Deposition Treatment on Characteristics of P-channel SnO Thin-Film Transistors 1 Byeong-Jun Song, 2 Ho-Nyeon Lee 1, First Author Department of Electric & Robotics Engineering, Soonchunhyang

More information

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

2007 IEEE International Conference on Electron Devices and Solid-State Circuits Proceedings 2007 IEEE International Conference on Electron Devices and Solid-State Circuits ~ December 20-22, 2007 Tayih Landis Hotel, Tainan, Taiwan Volume I Aluminium Incorporation in Lanthanum Oxide

More information

The 3 rd Asian Hygiene of Occupational Network Conference List of Poster Presentations

The 3 rd Asian Hygiene of Occupational Network Conference List of Poster Presentations P1: Chemical Exposure and Control P101 Filtration characteristics of filtering facepieces Yu-Lin Tseng P102 Using silicone wristbands as a personal sampler to monitor the exposures of airborne terpenes

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction IEDM 2013 Dec 9 th, 2013 Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction D. Hassan Zadeh, H. Oomine,

More information

Department of Business Administration, National Central University, Taiwan. Industrial Engineering and Management Sciences,

Department of Business Administration, National Central University, Taiwan. Industrial Engineering and Management Sciences, Chien-wen Shen Experience Associate Professor 2014/08 Present Department of Business Administration, National Central University, Taiwan Director 2015/03 Present Yunus Social Business Centre, National

More information

National Pingtung University of Science and Technology

National Pingtung University of Science and Technology Department :Department of Environmental Science and Engineering Program Grade : 1 Class : A Freshman English(1) R 2 2 7 8 English Listening & Speaking R 1 2 5 6 Practice 101 Courses of General Education

More information

PERFORMANCE IMPROVEMENT OF FINFET USING SPACER WITH HIGH K DIELECTRIC

PERFORMANCE IMPROVEMENT OF FINFET USING SPACER WITH HIGH K DIELECTRIC Journal of Electron Devices, Vol. 17, 2013, pp. 1447-1451 JED [ISSN: 1682-3427 ] PERFORMANCE IMPROVEMENT OF FINFET USING SPACER WITH HIGH K DIELECTRIC S. L. Tripathi and R.A. Mishra Department of Electronics

More information

Where innovations are shaping a new era of intelligent healthcare

Where innovations are shaping a new era of intelligent healthcare EXPO 11.29-12.02 TAIWAN Where innovations are shaping a new era of intelligent healthcare World-class medical centers and advanced medical specialties Most influential IT, ICT & Electronics industry in

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED Y. H. Lin and C. Y. Liu Department of Chemical Engineering and Materials Engineering, National Central University, Jhongli,

More information

Cybernetics (ICMLC 2011) Machine Learning and International Conference on. Pages Guilin, China. Volume 2.

Cybernetics (ICMLC 2011) Machine Learning and International Conference on. Pages Guilin, China. Volume 2. 2011 International Conference on Machine Learning and Cybernetics (ICMLC 2011) Guilin, China 10-13 July 2011 Volume 2 Pages 461-937 ICCC IEEE Catalog Number: CFP11523-PRT B ISBN: 978-1-4577-0305-8 2/4

More information

r^a light-emitting diodes (LEDs) Nitride semiconductor Materials, technologies and applications JianJang Huang, Hao-Chung Kuo and Shyh-Chiang Shen

r^a light-emitting diodes (LEDs) Nitride semiconductor Materials, technologies and applications JianJang Huang, Hao-Chung Kuo and Shyh-Chiang Shen Woodhead Publishing Series in Electronic and Optical Materials: Number 54 Nitride semiconductor light-emitting diodes (LEDs) Materials, technologies and applications Edited by JianJang Huang, Hao-Chung

More information

A single poly-si gate-all-around junctionless fin field-effect transistor for use in one-time programming nonvolatile memory

A single poly-si gate-all-around junctionless fin field-effect transistor for use in one-time programming nonvolatile memory Yeh et al. Nanoscale Research Letters 014, 9:603 NANO EXPRESS Open Access A single poly-si gate-all-around junctionless fin field-effect transistor for use in one-time programming nonvolatile memory Mu-Shih

More information

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb* International Forum on Energy, Environment and Sustainable Development (IFEESD 2016) Effect of annealing temperature on the electrical properties of HfAlO thin films Chun Lia, Zhiwei Heb* Department of

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

Summary and Scope for further study

Summary and Scope for further study Chapter 6 Summary and Scope for further study 6.1 Summary of the present study Transparent electronics is an emerging science and technology field concentrated on fabricating invisible electronic circuits

More information

Chip-Level and Board-Level CDM ESD Tests on IC Products

Chip-Level and Board-Level CDM ESD Tests on IC Products Chip-Level and Board-Level CDM ESD Tests on IC Products Ming-Dou Ker 1, 2, Chih-Kuo Huang 1, 3, Yuan-Wen Hsiao 1, and Yong-Fen Hsieh 3 1 Institute of Electronics, National Chiao-Tung University, Hsinchu,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Engineering and Engineering

Engineering and Engineering Ershi Qi Editors Jiang Shen Runliang Dou The 19th International Conference on Industrial Engineering and Engineering Management Engineering Economics Management ^ Springer Contents 1 A Study of Research

More information

Xi an, China July 19-22, 2013

Xi an, China July 19-22, 2013 The 14th SCBA International Symposium The Second Announcement Xi an, China July 19-22, 2013 Dear Colleague: We are deeply honored to invite you to attend the Fourteenth International Symposium of the Society

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW Y. C. Liang 1, C. Chen 1, *, and K. N. Tu 2 1 Department of Materials Science and Engineering,

More information

Supporting Information

Supporting Information Supporting Information Designing hybrid NiP 2/NiO nanorod arrays for efficient alkaline hydrogen evolution Meng-Ying Wu, Peng-Fei Da, Tong Zhang, Jing Mao,*, Hui Liu,*, and Tao Ling,*, Key Laboratory for

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin Dr. Frank Schmidt The Company Company Private company, founded 1990 80 employees ISO 9001 Location Science & Technology Park,

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 52 (2012) 2627 2631 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Investigation on CDM

More information

Supporting Information. Au Nanostructure-Decorated TiO 2 Nanowires. Exhibiting Photoactivity Across Entire UV-

Supporting Information. Au Nanostructure-Decorated TiO 2 Nanowires. Exhibiting Photoactivity Across Entire UV- Supporting Information Au Nanostructure-Decorated TiO 2 Nanowires Exhibiting Photoactivity Across Entire UV- Visible Region for Photoelectrochemical Water Splitting Ying-Chih Pu, a,b Gongming Wang, b Kao-Der

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Alternate Channel Materials for High Mobility CMOS

Alternate Channel Materials for High Mobility CMOS Alternate Channel Materials for High Mobility CMOS By Christopher Henderson This year s International Electron Device Meeting (IEDM) discussed a wide range of approaches for creating CMOS transistors with

More information

CURRICULUM VITAE. Editor-in-Chief, Maritime Business Review (Emerald Group Publishing)

CURRICULUM VITAE. Editor-in-Chief, Maritime Business Review (Emerald Group Publishing) CURRICULUM VITAE Professor Chin-Shan Lu ( 呂錦山 ), Ph.D. Address: Department of Logistics and Maritime Studies, Director, C.Y. Tung International Centre for Maritime Studies, The Hong Kong Polytechnic University,

More information

Separation and recovery of Ni, Co and Mn from spent lithium-ion batteries

Separation and recovery of Ni, Co and Mn from spent lithium-ion batteries 21 5 2011 5 Vol.21 No.5 The Chinese Journal of Nonferrous Metals May 2011 1004-0609(2011)05-1192-07 1, 1, 2, 1, 1, 1 (1., 410083 2., 410083) H 2 SO 4 +H 2 O 2 N902 10:1 H 2 SO 4 2.5 mol/l H 2 O 2 2.0 ml/g(

More information

Silicon-on-insulator (SOI) was developed in the

Silicon-on-insulator (SOI) was developed in the 66 Silicon-on-insulator substrates for compound semiconductor applications Mike Cooke reports on research developments reaching towards high-power electronics and infrared optical communications. Silicon-on-insulator

More information

A Self-Aligned a-igzo Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme

A Self-Aligned a-igzo Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme Materials 2014, 7, 5761-5768; doi:10.3390/ma7085761 Article OPEN ACCESS materials ISSN 1996-1944 www.mdpi.com/journal/materials A Self-Aligned a-igzo Thin-Film Transistor Using a New Two-Photo-Mask Process

More information

THE PERFORMANCE OF INDIUM TIN OXIDE FILMS DEPOSITED ON PLASTIC SUBSTRATE APPLIED FOR SOLAR-CELL BUOY

THE PERFORMANCE OF INDIUM TIN OXIDE FILMS DEPOSITED ON PLASTIC SUBSTRATE APPLIED FOR SOLAR-CELL BUOY Journal of Marine Science and Technology, Vol. 18, No. 6, pp. 82-829 () 82 THE PERFORMANCE OF INDIUM TIN OXIDE FILMS DEPOSITED ON PLASTIC SUBSTRATE APPLIED FOR SOLAR-CELL BUOY Chien-Kun Wang*, Jyh-Jier

More information

3. Department of Biomedical Engineering, Chung Yuan Christian University, Taiwan

3. Department of Biomedical Engineering, Chung Yuan Christian University, Taiwan Chun-Hsu Yao, Ph.D. Professor, Department of Biological Imaging and Radiological Science, School of Chinese Medicine, China Medical University, Taichung, Taiwan Tel:886-4-22053366 ext.7806;3325 e-mail:chyao@mail.cmu.edu.tw

More information

Supplementary Information

Supplementary Information Monitoring Oxygen Movement by Raman Spectroscopy of Resistive Random Access Memory with a Graphene-Inserted Electrode Supplementary Information He Tian, 1,2 Hong-Yu Chen, 3 Bin Gao, 3,4 Shimeng Yu, 3 Jiale

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Wire-shaped Supercapacitor with Organic. Electrolyte Fabricated via Layer-by-Layer Assembly

Wire-shaped Supercapacitor with Organic. Electrolyte Fabricated via Layer-by-Layer Assembly Supporting information Wire-shaped Supercapacitor with Organic Electrolyte Fabricated via Layer-by-Layer Assembly Kayeon Keum, a Geumbee Lee, b Hanchan Lee, a Junyeong Yun, a Heun Park, a Soo Yeong Hong,

More information

TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies

TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies Jian-Yu Shih 1,Yen-Chi Chen 2, Cheng-Hao Chiang 1, Chih-Hung Chiu 2, Yu- Chen Hu 1, Chung-Lun Lo 2, Chi-Chung Chang

More information

Research Article Thermal Characteristics of InGaN/GaN Flip-Chip Light Emitting Diodes with Diamond-Like Carbon Heat-Spreading Layers

Research Article Thermal Characteristics of InGaN/GaN Flip-Chip Light Emitting Diodes with Diamond-Like Carbon Heat-Spreading Layers International Photoenergy, Article ID 829284, 5 pages http://dx.doi.org/1.1155/214/829284 Research Article Thermal Characteristics of InGaN/GaN Flip-Chip Light Emitting Diodes with Diamond-Like Carbon

More information

Curriculum Vitae. 謝伯宗 Po-Tsung Hsieh Ph.D.

Curriculum Vitae. 謝伯宗 Po-Tsung Hsieh Ph.D. Curriculum Vitae 謝伯宗 Po-Tsung Hsieh Ph.D. Contact Tel: 06-2757575 ext. 31380-247 Email: pthsieh@mail.ncku.edu.tw Current Position Assistant Research Fellow, Center for Micro/Nano Science and Technology,

More information

Results of Applications for the 2016 Integrated Thematic Projects at Academia Sinica Announced

Results of Applications for the 2016 Integrated Thematic Projects at Academia Sinica Announced Results of Applications for the 2016 Integrated Thematic Projects at Academia Announced There is a total of 23 applications for the 2016 Thematic Projects (7 from Division of Mathematics and Physical Sciences;

More information

Atomic Layer Deposition (ALD)

Atomic Layer Deposition (ALD) Atomic Layer Deposition (ALD) ALD provides Uniform, controlled, conformal deposition of oxide, nitride, and metal thin films on a nanometer scale. ALD is a self limiting thin film deposition technique

More information

A Study of the Purification of Lean Solvent in Aromatics Extraction Unit.

A Study of the Purification of Lean Solvent in Aromatics Extraction Unit. Journal of Petroleum Mar. 2017 Vol.53 No.1 PP.71~80 A Study of the Purification of Lean Solvent in Aromatics Extraction Unit. Jing-Wei Ko* Wan-Rong Chen Zong-Cheng Lai Ming-Chang Tsai Hong-Hong Xue CPC

More information

Photoelectrochemical Cells for a Sustainable Energy

Photoelectrochemical Cells for a Sustainable Energy Photoelectrochemical Cells for a Sustainable Energy Dewmi Ekanayake Department of Chemistry, University of Cincinnati, Cincinnati, Ohio 45221, United States With the increasing demand of the energy, researches

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

Note Application of Screen Printing in Flexible Miniature Thermocouple Process Development

Note Application of Screen Printing in Flexible Miniature Thermocouple Process Development Int. J. Electrochem. Sci., 10 (2015) 3082-3087 Note Application of Screen Printing in Flexible Miniature Thermocouple Process Development International Journal of ELECTROCHEMICAL SCIENCE www.electrochemsci.org

More information

Vol. 31 No. 5 May x HCN / HCN /NH Hayhurst. Gardon. Fe 2 O 3 Fe. NO x NO x. Fe 2 O 3. 1 mg Fe 2 O 3 NO NO

Vol. 31 No. 5 May x HCN / HCN /NH Hayhurst. Gardon. Fe 2 O 3 Fe. NO x NO x. Fe 2 O 3. 1 mg Fe 2 O 3 NO NO 31 5 2016 5 JOURNAL OF ENGINEERING FOR THERMAL ENERGY AND POWER Vol. 31 No. 5 May. 2016 1001-2060 2016 05-0129 - 06 NO 1 1 2 1. 200093 2. 201620 NO x Fe NO NO Fe Fe 2 O 3 NO x HCN / 100 mg700 800 900 NO

More information