Supplementary Information

Size: px
Start display at page:

Download "Supplementary Information"

Transcription

1 Monitoring Oxygen Movement by Raman Spectroscopy of Resistive Random Access Memory with a Graphene-Inserted Electrode Supplementary Information He Tian, 1,2 Hong-Yu Chen, 3 Bin Gao, 3,4 Shimeng Yu, 3 Jiale Liang, 3 Yi Yang, 1 Dan Xie, 1 Jinfeng Kang, 4 Tian-Ling Ren, 1* Yuegang Zhang, 2,,* H.-S. Philip Wong 3* 1 Institute of Microelectronics, Tsinghua University, Beijing , China and Tsinghua National Laboratory for Information Science and Technology (TNList), Tsinghua University, Beijing , China 2 The Molecular Foundry, Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, CA 94720, USA 3 Center for Integrated Systems and Department of Electrical Engineering, Stanford University, Stanford, CA 94305, USA 4 Institute of Microelectronics, Peking University, Beijing , China These authors contributed equally to this work Current address: Suzhou Institute of Nano-Tech and Nano-Bionics, Chinese Academy of Sciences, Suzhou , China. ygzhang2012@sinano.ac.cn *Corresponding Author RenTL@tsinghua.edu.cn, yzhang5@lbl.gov, hspwong@stanford.edu This file includes: SUPPLEMENTARY FIG. S1-S6 SUPPLEMENTARY MTHODS SUPPLEMENTARY DISCUSSION SUPPLEMENTARY REFERENCES

2 SUPPLEMENTARY FIGURES AND CAPTIONS 100M LRS HRS Resistance (Ω) 10M O C 100k k 40.0k 60.0k 80.0k 100.0k Retention Time (s) Figure S1 Retention measurement of G-RRAM. The retention time up to 10 5 seconds (which is equal to ~27.8 hours) at 100 C is achieved.

3 40 10M RESET Current (µa) Left Right 1M LRS Resistance (Ω) SET Compliance (µa) 100k Figure S2 The RESET current (shown in the left y-axis) and LRS resistance (shown in the right y-axis) as a function of the SET current compliance of G-RRAM.

4 Resistance (Ω) Stress LRS k Time (s) Figure S3 READ immunity test of G-RRAM. Good READ-disturb immunity is achieved under a constant voltage stress of 0.3 V.

5 a G-RRAM b C-RRAM TiN/Ti SLG TiN/Ti O 2- O 2- O 2- O 2- O 2- O 2- Figure S4 During the SET process, (a) the O 2- is trapped in the SLG for the G-RRAM; (b) the O 2- is trapped in the TiN/Ti for the C-RRAM. a G-RRAM b C-RRAM TiN/Ti SLG TiN/Ti O 2- O 2- O 2- O 2- O 2- O 2- Figure S5 During the RESET process, (a) the O 2- in SLG go back to the for the G-RRAM; (b) the O 2- in TiN/Ti go back to the for the C-RRAM.

6 2.5eV 5.6eV 4.5eV SLG 4.3eV Ti 4.7eV TiN 8.5eV Figure S6 Schematic view of the energy level alignment (with respect to the vacuum level) of the G-RRAM with / /SLG/Ti/TiN structure. The work function of the, SLG, S1 Ti, TiN and E c, E v of the are depicted.

7 SUPPLEMENTARY MTHODS Graphene growth and transfer process: The SLG is grown by chemical vapor deposition on copper foil (25 µm, Alfa inc.). The 3 3 cm 2 SLG is transferred on the center of a 4-inch wafer. The detail graphene growth and transfer method is described in the Ref. S2. Device fabrication Process: As shown in Figure 1b, nominally planar surface with the embedded platinum () bottom electrode S3 is first fabricated and followed by (5nm) deposition using atomic layer deposition (ALD). The ALD HfO 2 conditions are thermal standard at 200 degree Celsius with 40 cycles under the base pressure of the chamber millitorr using tetrakis (dimethylamido) hafnium and water precursors. Then the as-grown SLG is transferred on the substrate (Figure 1c) and patterned by photolithography and oxygen plasma etching (Figure 1d). Finally, the top electrode (TiN/Ti) is deposited and patterned by lithography and dry etch (Figure 1e). Ti is contact to graphene as an adhesion layer and TiN is on top for probing. After the whole process is completed, the region covered by SLG is defined as G-RRAM and the region uncovered by SLG is defined as C-RRAM. Characterizations: The surface morphology is observed by Quanta FEG 450 SEM (FEI Inc.). The Raman spectroscopy is obtained using a laser with wavelength of 532 nm (HORIBA Inc.). The RRAM electrical characteristics are measured using the Agilent 4156C semiconductor analyzer (Agilent Inc.).

8 SUPPLEMENTARY DISCUSSION To understand the underlying mechanism of the Joule heating generate in G-RRAM and C-RRAM, we perform finite element simulation to study the temperature distribution of the filament in the. The Fourier s law can be written as: ( ) J = k[ x, T ( x)] dt x (S1) dx Where, x is the position, T(x) is the temperature at that position, k is the thermal conductivity of the. In our simulations, we only consider the steady-state case. Finite element modeling is used to perform a steady-state thermal analysis of the system to evaluate temperature profile. Comsol Multiphysics, S4 a finite element analysis program, is employed. The thermal conductivity of is 5 W/(m K). S5 The resistance of filament region is 10 times higher to the oxide region. In our simulation results, the highest temperature region in G-RRAM is located at the SLG/ interface due to the higher local electrical resistance. The local temperature rises during programming so that the inserted graphene material could be annealed.

9 SUPPLEMENTARY REFERENCES S1. Xu, K. et al. Direct measurement of Dirac point energy at the graphene/oxide interface. Nano Lett., 2012, /nl303669w. S2. Li, X. et al. Large-area synthesis of high-quality and uniform graphene films on copper foils. Science, 2009, 324: S3. Franklin, A. D. et al. Sub-10 nm carbon nanotube transistor. Nano Lett., 2012, 12: S4. For more information, please see Comsol website at: S5. Meyer, R. & Kohlstedt, H. 1-D simulation of a novel nonvolatile resistive random access memory device. IEEE Trans Ultrason Ferroelectr Freq Control 53, (2006).

Supplementary Information

Supplementary Information Supplementary Information Negative voltage modulated multi-level resistive switching by using a Cr/BaTiO x /TiN structure and quantum conductance through evidence of H 2 O 2 sensing mechanism Somsubhra

More information

FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION

FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION FORMING-FREE NITROGEN-DOPED ALUMINUM OXIDE RESISTIVE RANDOM ACCESS MEMORY GROWN BY ATOMIC LAYER DEPOSITION TECHNIQUE A DISSERTATION SUBMITTED TO THE DEPARTMENT OF ELECTRICAL ENGINEERING AND THE COMMITTEE

More information

Impact of Filament Evolution on Reliability Issues of Oxide Electrolyte Based Conductive Bridge Random Access Memory

Impact of Filament Evolution on Reliability Issues of Oxide Electrolyte Based Conductive Bridge Random Access Memory Impact of Filament Evolution on Reliability Issues of Oxide Electrolyte Based Conductive Bridge Random Access Memory Hangbing Lv, Xiaoxin Xu, Hongtao Liu, Qing Luo, Qi Liu, Shibing Long, Ming Liu* Institute

More information

3D Vertical RRAM. Henry (Hong-Yu) Chen, H.-S. Philip Wong Stanford University, CA, USA Collaborator: Peking University, China

3D Vertical RRAM. Henry (Hong-Yu) Chen, H.-S. Philip Wong Stanford University, CA, USA Collaborator: Peking University, China 3D Vertical RRAM Henry (Hong-Yu) Chen, H.-S. Philip Wong hongyuc@stanford.edu Stanford University, CA, USA Collaborator: Peking University, China Santa Clara, CA 1 What is RRAM? 0 : High Resistance State

More information

Chang Gung University, Tao-Yuan, 333, Taiwan. Industrial Technology Research Institute, Hsinchu 310, Taiwan. Fax:

Chang Gung University, Tao-Yuan, 333, Taiwan. Industrial Technology Research Institute, Hsinchu 310, Taiwan. Fax: 10.1149/1.3700903 The Electrochemical Society Impact of High-κ TaO x Thickness on the Switching Mechanism of Resistive Memory Device Using IrO x /TaO x /WO x /W Structure A. Prakash a, S. Maikap a,*, W.

More information

Non-charge Storage Resistive Memory: How it works

Non-charge Storage Resistive Memory: How it works Accelerating the next technology revolution Non-charge Storage Resistive Memory: How it works Gennadi Bersuker Copyright 2009 SEMATECH, Inc. SEMATECH, and the SEMATECH logo are registered servicemarks

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Nanoscale Conformable Coatings for Enhanced Thermal Conduction of Carbon Nanotube Films

Nanoscale Conformable Coatings for Enhanced Thermal Conduction of Carbon Nanotube Films Nanoscale Conformable Coatings for Enhanced Thermal Conduction of Carbon Nanotube Films Amy M. Marconnet 1, Munekazu Motoyama 1, Michael T. Barako 1, Yuan Gao 1, Scott Pozder 2, Burt Fowler 2, Koneru Ramakrishna

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi: 1.138/nnano.21.279 Supplementary Material for Single-layer MoS 2 transistors B. Radisavljevic, A. Radenovic, J. Brivio, V. Giacometti, A. Kis Device fabrication Our device

More information

ENS 06 Paris, France, December 2006

ENS 06 Paris, France, December 2006 CARBON NANOTUBE ARRAY VIAS FOR INTERCONNECT APPLICATIONS Jyh-Hua ng 1, Ching-Chieh Chiu 2, Fuang-Yuan Huang 2 1 National Nano Device Laboratories, No.26, Prosperity Road I, Science-Based Industrial Park,

More information

Lateral epitaxial growth of two-dimensional layered semiconductor heterojunctions

Lateral epitaxial growth of two-dimensional layered semiconductor heterojunctions Lateral epitaxial growth of two-dimensional layered semiconductor heterojunctions Xidong Duan, Chen Wang, Jonathan Shaw, Rui Cheng, Yu Chen, Honglai Li, Xueping Wu, Ying Tang, Qinling Zhang, Anlian Pan,

More information

Annealing Nano-to-Micro Contacts for Improved Contact Resistance

Annealing Nano-to-Micro Contacts for Improved Contact Resistance Annealing Nano-to-Micro Contacts for Improved Contact Resistance Heather Chiamori*, Xiaoming Wu, Xishan Guo, Bao Quoc Ta, and Liwei Lin, Member, IEEE Berkeley Sensor & Actuator Center, Department of Mechanical

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2.

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. Supplementary Figures Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. (a-d) The optical images of three BP flakes on a SiO 2 substrate before (a,b) and after annealing (c,d) at

More information

Department of Chemistry, University of California, Davis, California 95616, USA 2

Department of Chemistry, University of California, Davis, California 95616, USA 2 Enhance Solar Water Splitting Performance by Utilizing Near Infrared Radiation with Composite Films of Hematite and Rare Earth Doped Upconversion Materials Ming Zhang, 1 Yongjing Lin, 2 Thomas J. Mullen,

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

ZnO-based Transparent Conductive Oxide Thin Films

ZnO-based Transparent Conductive Oxide Thin Films IEEE EDS Mini-colloquium WIMNACT 32 ZnO-based Transparent Conductive Oxide Thin Films Weijie SONG Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo, P. R. China

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED Y. H. Lin and C. Y. Liu Department of Chemical Engineering and Materials Engineering, National Central University, Jhongli,

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

High Performance Lithium Battery Anodes Using Silicon Nanowires

High Performance Lithium Battery Anodes Using Silicon Nanowires Supporting Online Materials For High Performance Lithium Battery Anodes Using Silicon Nanowires Candace K. Chan, Hailin Peng, Gao Liu, Kevin McIlwrath, Xiao Feng Zhang, Robert A. Huggins and Yi Cui * *To

More information

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode Low contact resistance a-igzo TFT based on Copper-Molybdenum Source/Drain electrode Shi-Ben Hu 1,Hong-Long Ning 1,2, Feng Zhu 1,Rui-QiangTao 1,Xian-Zhe Liu 1, Yong Zeng 1, Ri-Hui Yao 1, Lei Wang 1, Lin-Feng

More information

A NOVEL METHOD FOR THE IMPROVEMENT IN THERMOELECTRIC PROPERTY OF TIN OXIDE THIN FILMS AND ITS APPLICATION IN GAS SENSING

A NOVEL METHOD FOR THE IMPROVEMENT IN THERMOELECTRIC PROPERTY OF TIN OXIDE THIN FILMS AND ITS APPLICATION IN GAS SENSING INTERNATIONAL JOURNAL ON SMART SENSING AND INTELLIGENT SYSTEMS, VOL. 1, NO. 2, JUNE 2008 A NOVEL METHOD FOR THE IMPROVEMENT IN THERMOELECTRIC PROPERTY OF TIN OXIDE THIN FILMS AND ITS APPLICATION IN GAS

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2

Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2 Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2 based devices. (a) TEM image of the conducting filament in a SiO 2 based memory device used for SAED analysis. (b)

More information

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 6, December 2013

ISSN: ISO 9001:2008 Certified International Journal of Engineering and Innovative Technology (IJEIT) Volume 3, Issue 6, December 2013 ISSN: 2277-3754 Fabrication and Characterization of Flip-Chip Power Light Emitting Diode with Backside Reflector Ping-Yu Kuei, Wen-Yu Kuo, Liann-Be Chang, Tung-Wuu Huang, Ming-Jer Jeng, Chun-Te Wu, Sung-Cheng

More information

Resistive Switching Memory Devices

Resistive Switching Memory Devices Resistive Switching Characteristics of Al/Si 3 N 4 /p-si MIS-Based Resistive Switching Memory Devices Min Ju Yun, Sungho Kim*, and Hee-Dong Kim** Department of Electrical Engineering, Sejong University,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

RADIATION HARDNESS OF MEMRISTIVE SYSTEMS

RADIATION HARDNESS OF MEMRISTIVE SYSTEMS RADIATION HARDNESS OF MEMRISTIVE SYSTEMS A. FANTINI ON BEHALF OF IMEC RRAM TEAM AND VU ISDE TEAM Workshop on Memristive systems for Space applications ESTEC - 30/04/2015 OUTLINE Introduction RRAM for space

More information

Redox-Active Molecular Flash Memory for On-Chip Memory

Redox-Active Molecular Flash Memory for On-Chip Memory Redox-Active Molecular Flash Memory for On-Chip Memory By Hao Zhu Electrical and Computer Engineering George Mason University, Fairfax, VA 2013.10.24 Outline Introduction Molecule attachment method & characterizations

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Ex-situ Ohmic Contacts to n-ingaas

Ex-situ Ohmic Contacts to n-ingaas High Doping Effects on In-situ and Ex-situ Ohmic Contacts to n-ingaas Ashish Baraskar*, Mark A. Wistey, Vibhor Jain, Uttam Singisetti, Greg Burek, Brian J. Thibeault, Arthur C. Gossard and Mark J. W. Rodwell

More information

Atomic Layer Deposition of Novel High Dielectric Constant Materials

Atomic Layer Deposition of Novel High Dielectric Constant Materials Atomic Layer Deposition of Novel High Dielectric Constant Materials Adam Kueltzo # & Julie Lam * Thornton Fractional North High School # and Maine East High School * August 2, 2007 University of Illinois

More information

Investigation of Carbon Nanotube Growth on Multimetal Layers for Advanced Interconnect Applications in Microelectronic Devices

Investigation of Carbon Nanotube Growth on Multimetal Layers for Advanced Interconnect Applications in Microelectronic Devices 1 2 3 4 5 6 7 8 9 Journal of The Electrochemical Society, 156 3 1-XXXX 2009 0013-4651/2009/156 3 /1/0/$23.00 The Electrochemical Society Investigation of Carbon Nanotube Growth on Multimetal Layers for

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Study on Infrared Absorption Characteristics of Ti and TiN x Nanofilms. Mingquan Yuan, Xiaoxiong Zhou, Xiaomei Yu

Study on Infrared Absorption Characteristics of Ti and TiN x Nanofilms. Mingquan Yuan, Xiaoxiong Zhou, Xiaomei Yu 10.119/1.36982 The Electrochemical Society Study on Infrared Absorption Characteristics of Ti and TiN x Nanofilms Mingquan Yuan, Xiaoxiong Zhou, Xiaomei Yu National Key Laboratory of Science and Technology

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Aligned Carbon Nanofibre-Polymer Composite Membranes. CNT Growth and Manipulation. Eleanor Campbell Dept. of Physics, Göteborg University

Aligned Carbon Nanofibre-Polymer Composite Membranes. CNT Growth and Manipulation. Eleanor Campbell Dept. of Physics, Göteborg University Aligned Carbon Nanofibre-Polymer Composite Membranes CNT Growth and Manipulation Eleanor Campbell Dept. of Physics, Göteborg University Plasma CVD Growth Polymer/Nanofibre Composite Low ambient temperature

More information

Field Effect Transistors Based on Van-der-Waals. Grown and Dry Transferred All-Inorganic

Field Effect Transistors Based on Van-der-Waals. Grown and Dry Transferred All-Inorganic Supporting Information for Field Effect Transistors Based on Van-der-Waals Grown and Dry Transferred All-Inorganic Perovskites Ultrathin Platelets Chengxue Huo #, Xuhai Liu #, Xiufeng Song, Ziming Wang,

More information

Electronic Supplementary Information (ESI) for

Electronic Supplementary Information (ESI) for Electronic Supplementary Information (ESI) for Binder-free CNT network/mos 2 composite as high performance anode material in lithium ion battery Congxiang Lu, ab Wen-wen Liu b, Hong Li c and Beng Kang

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Annealing Effects on the Properties of Copper Selenide Thin Films for Thermoelectric Applications

Annealing Effects on the Properties of Copper Selenide Thin Films for Thermoelectric Applications IOSR Journal of Applied Physics (IOSR-JAP) e-issn: 2278-4861. Volume 4, Issue 5 (Sep. - Oct. 2013), PP 65-71 Annealing Effects on the Properties of Copper Selenide Thin Films for Thermoelectric Applications

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Facile, mild and fast thermal-decomposition reduction of graphene oxide in air and its application in high-performance lithium batteries

Facile, mild and fast thermal-decomposition reduction of graphene oxide in air and its application in high-performance lithium batteries Facile, mild and fast thermal-decomposition reduction of graphene oxide in air and its application in high-performance lithium batteries Zhong-li Wang, Dan Xu, Yun Huang, Zhong Wu, Li-min Wang and Xin-bo

More information

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 ` Electronic Supplementary Information High-Resolution, Electrohydrodynamic Inkjet Printing of

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Light enhancement by the formation of an Al-oxide honeycomb nano-structure on the n-gan surface of thin-gan light-emitting diodes

Light enhancement by the formation of an Al-oxide honeycomb nano-structure on the n-gan surface of thin-gan light-emitting diodes Light enhancement by the formation of an Al-oxide honeycomb nano-structure on the n-gan surface of thin-gan light-emitting diodes C. L. Lin, P. H. Chen Department of Chemical and Materials Engineering,

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide

Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide Zhihe XIA,2, Lei LU,2,3, Jiapeng LI,2, Zhuoqun FENG,2, Sunbin DENG,2, Sisi WANG,2, Hoi-Sing KWOK,2,3 and Man WONG*,2 Department

More information

Investigation of metal contacts via thermal treatment at Interfaces between low temperature Ag pastes and TCO layer for HIT solar cell

Investigation of metal contacts via thermal treatment at Interfaces between low temperature Ag pastes and TCO layer for HIT solar cell Investigation of metal contacts via thermal treatment at Interfaces between low temperature Ag pastes and TCO layer for HIT solar cell Ming-Shiou Lin, Kuang-Yang Kuo, Yong-Han Lin, Yueh-Lin Lee, Liang-Pin

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

Red Phosphorus Nano-Dots on Reduced Graphene Oxide as Flexible High-Performance Anode for Sodium-Ion Batteries

Red Phosphorus Nano-Dots on Reduced Graphene Oxide as Flexible High-Performance Anode for Sodium-Ion Batteries Red Phosphorus Nano-Dots on Reduced Graphene Oxide as Flexible High-Performance Anode for Sodium-Ion Batteries Yihang Liu 1, Anyi Zhang 2, Chenfei Shen 2, Qingzhou Liu 2, Xuan Cao 2, Yuqiang Ma 2, Liang

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

The Effects of Sapphire Substrates Processes to the LED Efficiency

The Effects of Sapphire Substrates Processes to the LED Efficiency The Effects of Sapphire Substrates Processes to the LED Efficiency Hua Yang*, Yu Chen, Libin Wang, Xiaoyan Yi, Jingmei Fan, Zhiqiang Liu, Fuhua Yang, Liangchen Wang, Guohong Wang, Yiping Zeng, Jinmin Li

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Mat. Res. Soc. Symp. Proc. Vol. 715 2002 Materials Research Society Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Xiang-Zheng Bo, Nan

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Hei Wong.

Hei Wong. Defects and Disorders in Hafnium Oxide and at Hafnium Oxide/Silicon Interface Hei Wong City University of Hong Kong Email: heiwong@ieee.org Tokyo MQ2012 1 Outline 1. Introduction, disorders and defects

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

Oligomer-Coated Carbon Nanotube Chemiresistive Sensors for Selective Detection of Nitroaromatic Explosives

Oligomer-Coated Carbon Nanotube Chemiresistive Sensors for Selective Detection of Nitroaromatic Explosives Supporting information for Oligomer-Coated Carbon Nanotube Chemiresistive Sensors for Selective Detection of Nitroaromatic Explosives Yaqiong Zhang, Miao Xu, Benjamin R. Bunes, Na Wu, Dustin E. Gross,,

More information

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(1) Substrate Fan Wu Microelectronics Center, Medtronic Inc., Tempe, AZ 85261 James E. Morris Department of Electrical

More information

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy Jun-Mo Yang, Ph.D. Measurement & Analysis Team National NanoFab Center, Korea Introduction

More information

High Performance p-type Black Phosphorus. Transistor with Scandium Contact

High Performance p-type Black Phosphorus. Transistor with Scandium Contact Supplementary information: High Performance p-type Black Phosphorus Transistor with Scandium Contact Ling Li,* Michael Engel, + Damon B. Farmer, + Shu-jen Han, + H.-S. Philip Wong* *Department of Electrical

More information

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors JOURNAL OF MATERIALS SCIENCE LETTERS 18 (1999)1427 1431 Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors C. L. CHA, E. F. CHOR Center For Optoelectronics,

More information

Making III-V contact with silicon substrates

Making III-V contact with silicon substrates 106Technology focus: III-Vs on silicon Making III-V contact with silicon substrates High-speed logic, high-frequency/high-power transistors and photonics systems could benefit from marrying with silicon

More information

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat 1.1149/1.2727414, The Electrochemical Society A New Liquid Precursor for Pure Ruthenium Depositions J. Gatineau, C. Dussarrat Air Liquide Laboratories, Wadai 28, Tsukuba city, Ibaraki Prefecture, 3-4247,

More information

Facet-Selective Epitaxy of Compound Semiconductors on

Facet-Selective Epitaxy of Compound Semiconductors on Supporting Information For: Facet-Selective Epitaxy of Compound Semiconductors on Faceted Silicon Nanowires Max N. Mankin, Robert W. Day, Ruixuan Gao, You-Shin No, Sun-Kyung Kim, Arthur A. McClelland,

More information

for New Energy Materials and Devices; Beijing National Laboratory for Condense Matter Physics,

for New Energy Materials and Devices; Beijing National Laboratory for Condense Matter Physics, Electronic Supplementary Information Highly efficient core shell CuInS 2 /Mn doped CdS quantum dots sensitized solar cells Jianheng Luo, a Huiyun Wei, a Qingli Huang, a Xing Hu, a Haofei Zhao, b Richeng

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Performance at Wafer-Scale

Performance at Wafer-Scale Supporting Information Self-Assembling VO 2 Nanonet with High Switching Performance at Wafer-Scale Jiasong Zhang, Haibo Jin*, Zhuo Chen, Maosheng Cao, Pengwan Chen, Yankun Dou, Yongjie Zhao, Jingbo Li*

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

NON-PLANAR SILICON OXIDATION: AN EXTENSION OF THE DEAL-GROVE MODEL BRIAN D. LEMME. B.S., University of Nebraska-Lincoln, 2000 A REPORT

NON-PLANAR SILICON OXIDATION: AN EXTENSION OF THE DEAL-GROVE MODEL BRIAN D. LEMME. B.S., University of Nebraska-Lincoln, 2000 A REPORT NON-PLANAR SILICON OXIDATION: AN EXTENSION OF THE DEAL-GROVE MODEL by BRIAN D. LEMME B.S., University of Nebraska-Lincoln, 2000 A REPORT submitted in partial fulfillment of the requirements for the degree

More information

Chemical analysis of Ti/Al/Ni/Au ohmic contacts to AlGaN/GaN heterostructures

Chemical analysis of Ti/Al/Ni/Au ohmic contacts to AlGaN/GaN heterostructures Optica Applicata, Vol. XLIII, No. 1, 213 DOI: 1.277/oa1319 Chemical analysis of Ti/Al/Ni/Au ohmic contacts to AlGaN/GaN heterostructures WOJCIECH MACHERZYŃSKI *, KORNELIA INDYKIEWICZ, BOGDAN PASZKIEWICZ

More information

One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography

One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography Appl. Phys. A 80, 1173 1178 (2005) DOI: 10.1007/s00339-004-3176-y Applied Physics A Materials Science & Processing w. wu 1, g.-y. jung 1 d.l. olynick 2 j. straznicky 1 z. li 1 x. li 1 d.a.a. ohlberg 1

More information

Holey Silicon as efficient thermoelectric material

Holey Silicon as efficient thermoelectric material Supporting Information: Holey Silicon as efficient thermoelectric material Jinyao Tang 1, 3*, Hung-Ta Wang 1*, Dong Hyun Lee 4, Melissa Fardy 1, Ziyang Huo 1, Thomas P. Russell 4, 1, 2, 3 Peidong Yang

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

Effect of barrier layers on the texture and microstructure of Copper films

Effect of barrier layers on the texture and microstructure of Copper films Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E2.2.1 Effect of barrier layers on the texture and microstructure of Copper films Tejodher Muppidi and David P Field School of MME, Washington

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Simple method for formation of nanometer scale holes in membranes T. Schenkel 1, E. A. Stach, V. Radmilovic, S.-J. Park, and A. Persaud E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 When

More information

Effects of Silver Coating Covered with Copper Filler on Electrical Resistivity of Electrically Conductive Adhesives

Effects of Silver Coating Covered with Copper Filler on Electrical Resistivity of Electrically Conductive Adhesives Materials Transactions, Vol. 51, No. 1 (21) pp. 1785 to 1789 Special Issue on Lead-Free and Advanced Interconnection Materials for Electronics #21 The Japan Institute of Metals Effects of Silver oating

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

Hydrothermal Synthesis of Nano-sized PbTiO3 Powder and Epitaxial Film for Memory Capacitor Application

Hydrothermal Synthesis of Nano-sized PbTiO3 Powder and Epitaxial Film for Memory Capacitor Application American Journal of Materials Science and Technology (2012) 1: 22-27 doi:10.7726/ajmst.2012.1004 Research Article Hydrothermal Synthesis of Nano-sized PbTiO3 Powder and Epitaxial Film for Memory Capacitor

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

This article was originally published in a journal published by Elsevier, and the attached copy is provided by Elsevier for the author s benefit and for the benefit of the author s institution, for non-commercial

More information