Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Size: px
Start display at page:

Download "Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP"

Transcription

1 Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Post CMP Cleaning Austin 2017 Ratanak Yim (Viorel Balan) R. Yim 1,2,5, C. Perrot 2, V. Balan 1, P-Y. Friot 3, B. Qian 3, N. Chiou 3, G. Jacob 3, E. Gourvest 2, F. Salvatore 4, S. Valette 5 1 CEA LETI, Univ. Grenoble Alpes, 2 STMicroelectronics, 3 Dow Electronic Materials, 4 ENISE, 5 Univ. Lyon, Ecole Centrale de Lyon

2 Si CMP Challenges for 3D Monolithic CoolCube TM SiO 2 SOI wafer Bonding Interface Si SiO 2 Si Si CMP Bonding Interface Si First gate fabrication Insulator planarization Direct bonding Second gate fabrication Perfect surface quality in order to build the 2 nd transistor Planarization Challenges: Si Thickness Control :SPEC < 10nm + Si Range < 1nm Multilayer metal contact Excellent Silicon Surface Quality with Low Material Consumption 2

3 Outline Chemical and Mechanical Synergy of the CMP Process Chemical action Mechanical action Correlation Results Between Wafer Surface Quality and Pad Microstructure in Advanced Si CMP Pad CMP Si Wafer Conclusion 3

4 CMP: CoMPlex Process SYNERGY between : CHEMICAL action SLURRY Chemistry MECHANICAL action PAD + Abrasive particles CMP Surface Chemical Modification Mechanical Removal Material Chemical/Mechanical Balance to Adapt to Each CMP Process 4

5 Pad Characteristics and its Actions PAD CHEMICAL action Pores + Grooves à Slurry Transport MECHANICAL action Pores + Asperities à Wafer Contact CMP The Pad Plays a Major Role in the Chemical/Mechanical Balance of the CMP Process 5

6 Pad Porosity Advanced Polishing Pad Conventional Polishing Pad Pores SEM Cross section SEM Cross section Item Pore size Porosity Pad A Extra Small Medium Pad B Small High Pad C Small Extreme high Pad D Large High 4 Pads with Different Pore Sizes and Porosities Evaluated for Advanced Si CMP 6

7 Blanket Silicon Wafer Polishing Conditions Polishing Tool: LK PRIME TM POLISHER CLEANER P3 P2 P1 Cleaner A Cleaner B Only one CMP process step P4 metrology Consumables: Pad: Dow Pads DD: 3M Brush or AF38 DD Slurry: High Purity Colloidal Silica Process Conditions: Pressure: 1.5psi Rotation: Platen at 43 rpm Flow: 200 ml/min Downforce: 7 lbf (ex-situ conditioning) Same Conditioning to Compare Intrinsic Pad Properties 7 ICPT2016 Cédric Perrot

8 Pad and Wafer Characterizations Pad Characterization: Pad Roughness Parameters Height Distributions Asperities Properties Pad Wafer Characterization: Si Wafer Wafer AFM Topography Wafer Wafer AFM Distribution Wafer Surface Roughness AFM Height Distributions Defect Level (SP2) Si RR (Precision Balance) Wafer Surface Quality = f (Pad Texture Parameters) 8 ICPT2016 Cédric Perrot

9 Pad & Wafer Roughness Correlation Results Conventional Polishing Pad Advanced Polishing Pad Pad Sq = 5-10µm Si Wafer Rq = 1,3A Wafer Roughness (A) Pad Conventional pad Advanced pads Sq = 23µm Si Wafer Pad Roughness (µm) Rq = 1,8A Low Pad Surface Roughness = Low Wafer Surface Roughness 9

10 Pad & Wafer Roughness Correlation Results Advanced Polishing Pad Conventional Polishing Pad 4.0 Wafers polished on Advanced pads 3.5 Pad Pad 3.0 Sq = 5-10µm Si Wafer Frequency (a. u.) 2.5 Wafer polished on Conventional pad 2.0 Sq = 23µm 1.5 Si wafer (pad A) Si wafer (pad B) Si wafer (pad C) Si wafer (pad D) Rq = 1,3A Si Wafer Rq = 1,8A Wafer surface height (nm) Low Pad Surface Roughness = Low Wafer Surface Roughness 10

11 Wafer Surface Quality Sq Wafer Surface Quality Sp Lower Post CMP SI Roughness with Smaller Pad Asperities Wafer Surface Roughness Driven by Pad Asperities Height: Atomic Si Planarization 11

12 Pad Texture Open Pad Open Texture Sz Texture Open = Empty Volume/Projected Area Low Pore Size = Low Texture Open 12

13 Pad Texture Open Inpact on RR & Sq Wafer Surface Quality RR Increasing Open Pad Texture Increase RR but Decrease Surface Quality à Chemical Effect of Slurry 13

14 Pad Texture Open Impact on RR & Defectivity Post CMP DEF RR Increasing Open Pad Texture Increase RR and Decrease Defectivity Level 14

15 Si Wafer Quality Surface & Pad Texture Open Si Wafer Si Wafer Removal Roughness Rate (nm/min) (nm) Si Wafer Defect Level Conventional Pad Large Pore Size = CHEMICAL action Advanced Pad Conventional Pad Pad Pad Texture Open (µm) Pad Texture Open Open (µm) (µm) Lower Higher Si Wafer Si Removal Defect Roughness Level Rate with High with Low High Pad CMP Texture Si Open Advanced Pad Small Pore Size = MECHANICAL action Chemical / Mechanical Balance in Si CMP Can Be Managed Through Pad Microstructure 15

16 Conclusion CHEMICAL action MECHANICAL action PAD SLURRY Chemistry + Abrasive particles CMP Pores + Grooves à Slurry Transport PAD CMP Pores + Asperities à Wafer contact Large pore size à Higher RR à Lower defectivity level Small pore size à Better Surface Roughness CMP Si Chemical/Mechanical Balance Management through Pad Microstructure Advanced Pad & Advanced Wafer Characterizations: Better Understanding of Roughness Transfer from Pad to Wafer Achieve Better CMP Performance 16

17 Thank you for your attention Leti, technology research institute Commissariat à l énergie atomique et aux énergies alternatives Minatec Campus 17 rue des Martyrs Grenoble Cedex France

18 Planche de pictos DISPOSITIFS MÉDICAUX INTERNET DES OBJETS CAPTEURS IMAGING SENSOR SERVEURS ET CALCUL INTENSIF NANO ELECTRONIQUE COMPOSANTS PHOTONIQUE ÉNERGIE ÉLECTRONIQUE DE PUISSANCE SÉCURITÉ RF 18

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan Chemical Mechanical Planarization STACK TRECK Viorel.balan@cea.fr > Red 50 is years The of New Moore s Blue Law Stacking Is The New Scaling 2 Lithography Enables Scaling / CMP Enables Stacking Building

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014 3M TM Trizact TM Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications March 19, 214 J. Zabasajja, V. Laraia, M. Fritz, J. Sokol and Junqing Xie 3M Electronics Markets Material Division,

More information

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device 1JI CHUL YANG, 2Hong Jin Kim, 2Venu. Govindarajulu,1Dinesh Koli and 2Jason Mazzotti Jichul.yang@globalfoundries.com 1 CMP,

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

Analysis of Large Pad Surface Contact Area in Copper CMP

Analysis of Large Pad Surface Contact Area in Copper CMP Analysis of Large Pad Surface Contact Area in Copper CMP X. Liao 1, Y. Zhuang 1,2, L. Borucki 2, Y. Sampurno 1,2 and A. Philipossian 1,2 1 University of Arizona, Tucson AZ USA 2 Araca Incorporated, Tucson

More information

Nanofocused X-Ray Beam To Reprogram Secure Circuits

Nanofocused X-Ray Beam To Reprogram Secure Circuits Nanofocused X-Ray Beam To Reprogram Secure Circuits Stéphanie Anceau, Pierre Bleuet, Jessy Clédière, Laurent Maingault, Jean-luc Rainard, Rémi Tucoulou Let s speak about X-rays Ionizing radiations are

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Blisters formation mechanism during High Dose Implanted Resist Stripping

Blisters formation mechanism during High Dose Implanted Resist Stripping Blisters formation mechanism during High Dose Implanted Resist Stripping Marion Croisy a,b,c*, Cécile Jenny a, Claire Richard a, Denis Guiheux a, Sylvain Joblot a, Alain Campo b, Erwine Pargon c, Nicolas

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology Cambridge, MA 02139 April 23, 2009

More information

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park Post CMP Cleaning Conference @ SPCC2017 March 27, 2017 Jin-Goo Park Challenges in surface preparation Research trend in cleaning technology Lesson learned from current cleaning technology Challenges in

More information

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES Andrey Zagrebelny, Sony Varghese, Andrew Carswell 1 INTRODUCTION ITRS roadmap: Moore s Law vs. 3D/vertical architectures New

More information

Surface roughness of optical quartz substrate by chemical mechanical polishing

Surface roughness of optical quartz substrate by chemical mechanical polishing Vol. 35, No. 11 Journal of Semiconductors November 2014 Surface roughness of optical quartz substrate by chemical mechanical polishing Duan Bo( 段波 ), Zhou Jianwei( 周建伟 ), Liu Yuling( 刘玉岭 ), Sun Mingbin(

More information

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing CMP Users Meeting April 12 th 2013 D.JEANJEAN Damien JEANJEAN Olivier ROBIN Sebastien GAILLARD David GALPIN Grégoire

More information

connected health Technologies and smart systems for health monitoring and care Leti, technology research institute Contact:

connected health Technologies and smart systems for health monitoring and care Leti, technology research institute Contact: connected health Technologies and smart systems for health monitoring and care Leti, technology research institute Contact: leti.contact@cea.fr leti connected health solutions Future medical trends: Patient

More information

Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform

Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform B. Szelag 1, K. Hassan 1, L. Adelmini 1, E. Ghegin 1,2, Ph. Rodriguez 1, S. Bensalem 1, F. Nemouchi 1,

More information

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures PAPER D Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures In: Proceedings of IEEE/SEMI Advanced Semiconductors Manufacturing Conference

More information

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Yuzhuo Li Center for Advanced Materials Processing Department of Chemistry Clarkson University Potsdam, NY 13699

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP 1 TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP Fiona M. Doyle and Shantanu Tripathi* University of California at Berkeley Department of Materials Science and Engineering 210 Hearst Mining Building

More information

The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries

The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries Michael L. White, Richard. Romine, Lamon Jones and William Ackerman Cabot Microelectronics

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

New Applications of Chemical Mechanical Planarization

New Applications of Chemical Mechanical Planarization New Applications of Chemical Mechanical Planarization Robert L. Rhoades, Ph.D. Semiconductor Equipment Spare Parts and Service CMP Foundry AVS Joint Meeting San Jose, CA Feb 19, 2015 Welcome to Entrepix

More information

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER APPLICATION NOTE DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER Authors: Rakesh K. Singh, Andrew Galpin, Christopher Vroman Abstract Characteristics of a revolutionary new design

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

Planarization of a CMOS die for an integrated metal MEMS

Planarization of a CMOS die for an integrated metal MEMS Planarization of a CMOS die for an integrated metal MEMS Hocheol Lee*, Michele H. Miller +, Thomas G. Bifano Boston University ABSTRACT This paper describes a planarization procedure to achieve a flat

More information

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA]

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA] Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance Ara Philipossian [Araca Incorporated, Tucson AZ USA] Problem Statement Slurries are expensive and reducing their flow

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project Feature-level Compensation & Control CMP September 15, 2005 A UC Discovery Project Chemical Mechanical Planarization - Faculty Team Mechanical Phenomena David A. Dornfeld Mechanical Engineering UCB Fiona

More information

CMPUG Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology. July 11, Michael Mills, PhD Fellow

CMPUG Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology. July 11, Michael Mills, PhD Fellow July 11, 2018 Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology Michael Mills, PhD Fellow. Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology 1)

More information

Integration Issues with Cu CMP

Integration Issues with Cu CMP Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003 Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge R. Chanson a, E. Pargon a, M. Darnon a, C. Petit Etienne a, S. David a, M. Fouchier a, B. Glueck b, P. Brianceau

More information

Enhanced Material Removal Rate For III/V Semiconductors

Enhanced Material Removal Rate For III/V Semiconductors Enhanced Material Removal Rate For III/V Semiconductors G. Bahar Basim, Sebnem Ozbek, Wazir Akbar Ozyegin University Department of Mechanical Engineering, Nisantepe Mevki, No 34-36, Cekmekoy, Istanbul,

More information

CMP Solutions for the Integration of High-K Metal Gate Technologies

CMP Solutions for the Integration of High-K Metal Gate Technologies CMP Solutions for the Integration of High-K Metal Gate Technologies J. M.. Dysard, V. Brusic, P. Feeney, S. Grumbine, K. Moeggenborg, G. Whitener, W. J. Ward, G. Burns, and K. Choi Cabot Microelectronics

More information

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING Souvik Banerjee, Andrea Via and Harlan F. Chung EcoSnow Systems Inc. Livermore, CA Robert Small and

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

AVS CMP Users Group Meeting

AVS CMP Users Group Meeting AVS CMP Users Group Meeting High Selectivity Ceria Slurry for Next Generation STI CMP Processes Nate D. Urban 4/07/2016 Outline Introduction to Ferro Shallow trench isolation (STI) Silicon nitride passivation

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

NCAVS CMPUG Meeting July 16, 2009

NCAVS CMPUG Meeting July 16, 2009 CMP OPTIMIZATION AND CONTROL THROUGH REAL-TIME ANALYSIS OF PROCESS EFFLUENTS S.J. Benner and D.W Peters Allentown, PA 18106 610-395-7840 dwpeters@confluense.com www.confluense.com Outline Introduction

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

CMP Defects and Evolution of PCMP Cleans

CMP Defects and Evolution of PCMP Cleans CMP Defects and Evolution of PCMP Cleans March 27, 2017 Iqbal Ali iali@linx-consulting.com (408)839-9924 SPCC & PCMP Conference, Austin, TX Agenda 1. Introduction to Linx Consulting 2. Where Have We Been

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

Particle Characterization of Abrasives

Particle Characterization of Abrasives Particle Characterization of Abrasives Mark Bumiller mark.bumiller@horiba.com Factors Affecting Abrasion Mechanics Difference in hardness between the two substances: a much harder abrasive will cut faster

More information

Chip-to-Wafer Technologies for High Density 3D Integration

Chip-to-Wafer Technologies for High Density 3D Integration Chip-to-Wafer Technologies for High Density 3D Integration CEA Leti Minatec Campus, STMicroelectronics, SET, CNRS Cemes, Air Liquide Electonics Systems May 11, 2011 A collaborative work CEA Leti Minatec

More information

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any Examples of CMP Processess for the Manufacturing of MEMS Devices Gerfried Zwicke er Fraunhofer Institute for Silicon Technology ISIT Itzehoe, Germa any gerfried.zwicker@isit.fraunhofer.de Contents MEMS

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

SET Technical Bulletin

SET Technical Bulletin SET Technical Bulletin DIE BONDING APPLICATIONS An Innovative Die to Wafer 3D Integration Scheme: Die to Wafer Oxide or Copper Direct Bonding with Planarised Oxide Inter-Die Filling RF MEMS and Flip-Chip

More information

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. APPLICATION NOTE CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. Yang, Sherly Lee Abstract Chemical mechanical planarization (CMP) slurries contain

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Tutorial on Chemical Mechanical Polishing (CMP)

Tutorial on Chemical Mechanical Polishing (CMP) Tutorial on Chemical Mechanical Polishing (CMP) Ara Intel Corporation 1999 Arizona Board of Regents for The University of Arizona 1 Outline of the Tutorial Section A: Overview Generalized schematics of

More information

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing E.A. (Gene) Fitzgerald M.J. Mori, C.L.Dohrman, K. Chilukuri MIT Cambridge, MA USA Funding: MARCO IFC and Army

More information

CHARACTERIZATION OF SILICON CARBIDE AND PYROCARBON COATINGS FOR FUEL PARTICLES FOR HIGH TEMPERATURE REACTORS (HTR)

CHARACTERIZATION OF SILICON CARBIDE AND PYROCARBON COATINGS FOR FUEL PARTICLES FOR HIGH TEMPERATURE REACTORS (HTR) CHARACTERIZATION OF SILICON CARBIDE AND PYROCARBON COATINGS FOR FUEL PARTICLES FOR HIGH TEMPERATURE REACTORS (HTR) D. Hélary 1,2, X. Bourrat 1, O. Dugne 2, G. Maveyraud 1,2, F. Charollais 3, M. Pérez 4,

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Preparation and Polishing Properties of Spherical Porous Silica Abrasive

Preparation and Polishing Properties of Spherical Porous Silica Abrasive American Journal of Nanotechnology 1 (1): 32-39, 2010 ISSN 1949-0216 2010 Science Publications Preparation and Polishing Properties of Spherical Porous Silica Abrasive Hong Lei, Hu Li, Ping Liu and Ruling

More information

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities by Robert L. Rhoades; Entrepix, Inc., John Bare, Anthony J. Clark, and Ed Atkinson; psiloquest, Inc. Presented to CMP-MIC 2005 Summary

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc. New Applications of CMP for Non-Traditional Semiconductor Manufacturing Robert L. Rhoades, Ph.D. Entrepix, Inc. Outline Introduction New Applications of CMP MEMS Non-CMOS Devices New Materials Epitaxial

More information

Bare Die Assembly on Silicon Interposer at Room Temperature

Bare Die Assembly on Silicon Interposer at Room Temperature Minapad 2014, May 21 22th, Grenoble; France Bare Die Assembly on Silicon Interposer at Room Temperature W. Ben Naceur, F. Marion, F. Berger, A. Gueugnot, D. Henry CEA LETI, MINATEC 17, rue des Martyrs

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS In many works on the material removal in the Chemical Mechanical Process (CMP), the effects of several process parameters have

More information

Chemical Mechanical Planarization (CMP) Slurry Manufacturing

Chemical Mechanical Planarization (CMP) Slurry Manufacturing Customer Application Brief Chemical Mechanical Planarization (CMP) Slurry Manufacturing Introduction The Chemical Mechanical Planarization (CMP) process plays a key role in the manufacture of data storage,

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP John Gagliardi, Richard Webb, Chris Rueb - 3M Corporation Greg Menk, Pete McReynolds, Gopal Prabhu, Tom Osterheld - Applied

More information

POLI- 500, POLI-762 Quick Overview

POLI- 500, POLI-762 Quick Overview POLI- 500, POLI-762 Quick Overview G&P Technology Overview of POLI-500 for 4 ~ 8 Application 4-8 Wafer CMP Equipment - Head, Table : 30 ~ 200 rpm, Rotational motion - Head oscillation : ± 20mm - Size :

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance Thomas H. Osterheld, Steve Zuniga, Sidney Huey, Peter McKeever, Chad Garretson, Ben Bonner, Doyle Bennett,

More information

Passivation of Copper During Chemical Mechanical Planarization

Passivation of Copper During Chemical Mechanical Planarization 1 Passivation of Copper During Chemical Mechanical Planarization SFR Workshop & Review November 14, 22 Amnuaysak, Chianpairot and Fiona M. Doyle Berkeley, CA 23 GOAL: to characterize the composition of

More information

Wafer Scale Packaging of MEMS by Using Plasma-Activated Wafer Bonding

Wafer Scale Packaging of MEMS by Using Plasma-Activated Wafer Bonding PUBLICATION B Wafer Scale Packaging of MEMS by Using Plasma-Activated Wafer Bonding Journal of The Electrochemical Society, Vol. 153, No. 1, (2006), pp. G78 G82. Reprinted by permission of ECS The Electrochemical

More information

EFFECT OF CRYSTALORIENTATIONIN OXIDATION PROCESS OF VLSI FABRICATION

EFFECT OF CRYSTALORIENTATIONIN OXIDATION PROCESS OF VLSI FABRICATION International Journal of Research in Engineering, Technology and Science, Volume VII, Special Issue, Feb 2017 www.ijrets.com, editor@ijrets.com, ISSN 2454-1915 EFFECT OF CRYSTALORIENTATIONIN OXIDATION

More information

Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes

Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2007 Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes

More information

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization Jesse Cornely 1, Chris Rogers 1, Vincent P. Manno 1 and Ara Philipossian 2 1 Tufts University, Department of Mechanical Engineering

More information

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING-GREEN TECHNOLOGY Vol. 2, No. 1, pp. 59-63 JANUARY 2015 / 59 10.1007/s40684-015-0008-9 Effect of Surfactant on Package Substrate in Chemical

More information

Process Improvement Projects May 2006 Dr. Lynn Fuller

Process Improvement Projects May 2006 Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Process Improvement Projects May 2006 Dr. Lynn Fuller 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Why Probes Look the Way They Do Concepts and Technologies of AFM Probes Manufacturing

Why Probes Look the Way They Do Concepts and Technologies of AFM Probes Manufacturing Agilent Technologies AFM e-seminar: Understanding and Choosing the Correct Cantilever for Your Application Oliver Krause NanoWorld Services GmbH All mentioned company names and trademarks are property

More information

SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age

SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age New S U B S TIdeas R A T E Sfor New Materials SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age Christophe Maleville and George Celler, Soitec Silicon-on-insulator (SOI) wafers are

More information

CMP Process Development for Shallow Trench Isolation (STI)

CMP Process Development for Shallow Trench Isolation (STI) CMP Process Development for Shallow Trench Isolation (STI) Robert A. Seifridge Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract Tool characterization and optimization

More information

Epitaxial Growth of Low Defect SiGe Buffer Layers for Integration of New Materials on 300 mm Silicon Wafers. Semicon Europa 2017

Epitaxial Growth of Low Defect SiGe Buffer Layers for Integration of New Materials on 300 mm Silicon Wafers. Semicon Europa 2017 Layers for Integration of New Materials on 300 mm Silicon Wafers Peter Storck Semicon Europa 2017 Integration of new Materials is Part of the Logic Roadmap CMOS logic evolves from planar to FinFET and

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Micro Actuators, Sensors, Systems Group University of Illinois at Urbana-Champaign Outline Definition of surface micromachining Most common surface micromachining materials - polysilicon

More information

Process Optimization and Integration for Silicon Oxide Intermetal Dielectric Planarized by Chemical Mechanical Polish

Process Optimization and Integration for Silicon Oxide Intermetal Dielectric Planarized by Chemical Mechanical Polish 1984 Journal of The Electrochemical Society, 146 (5) 1984-1990 (1999) Process Optimization and Integration for Silicon Oxide Intermetal Dielectric Planarized by Chemical Mechanical Polish Chi-Fa Lin, a,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2007

EE C245 ME C218 Introduction to MEMS Design Fall 2007 EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 10: Bulk

More information