O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

Size: px
Start display at page:

Download "O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics"

Transcription

1 O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for Interconnect and Packaging, Microelectronics Research Center, The University of Texas at Austin, Austin, TX, Intel Corporation, Logic Technology Development, Hillsboro, OR, ABSTRACT This paper investigated the mechanism of oxygen plasma damage to patterned low-κ structures and dielectric recovery by silylation. Plasma damage was induced to patterned structures using a remote hybrid plasma source with separate ions and atomic radicals. In addition, the oxygen plasma damage to blanket low-κ films and its angular dependence were studied. The damage was characterized by a combination of analytical techniques and the results indicated that damage by ions was anisotropic while damage by radicals was isotropic. The carbon depletion depth was found to be controlled by diffusion of radical species. Sidewall recovery by trimethylchlorosilane (TMCS) vapor silylation was performed and it was found to be more effective for recovery of the sidewall carbon loss induced by O 2 radicals compared to that by hybrid O 2. INTRODUCTION With continuing scaling beyond the 45nm technology node, implementation of ultra lowκ (ULK) dielectrics into Cu interconnects becomes necessary [1]. Plasma processing can degrade low-κ dielectrics by depleting the methyl groups leading to moisture uptake and increases in the effective dielectric constant and leakage current of the low k structure [2, 3]. For ULK dielectrics, the incorporation of porosity can enhance the plasma damage due to increase in the penetration of the plasma species. So far, few reports are available on plasma damage to patterned low-κ dielectrics due to the limitations of metrology techniques [4]. This study investigates the mechanism of O 2 plasma damage and dielectric recovery for patterned low-κ structures. Multiple analytical techniques were used to analyze the damage by oxygen plasma on low κ films at different incident angles (0 to 90 ). The results enabled us to understand the angular dependence of damage by ions and radicals on surface chemistry, composition, and density of the low-κ film. This was supplemented by the use of electron energy loss spectroscopy (EELS) in transmission electron microscope to examine the oxygen plasma damage at the sidewall of patterned low-κ structures. Finally, dielectric recovery by trimethylchlorosilane (TMCS) vapor silylation was performed on the patterned samples and it was found to be more effective for recovery of the sidewall carbon loss induced by O 2 radicals than that by hybrid O 2. EXPERIMENT DETAILS The pristine CDO films were deposited in a standard PECVD chamber to yield a film with about 25% porosity, dielectric constant of 2.5, density of 1.25 g/cm3 and refractive index at 633 nm of The patterns were formed by plasma etching and subsequent DHF clean. The

2 samples were treated by remote plasmas using an atom/ion hybrid source which can generate hybrid ions and neutrals or atomic radical only. The operation of the plasma source has been described elsewhere [5]. The typical ion flux in hybrid beam was estimated to be of the order of atoms/ (cm 2 s) by a Langmuir probe. XPS surface analyses were performed using a PHI 5700 XPS system. TEM/EELS analysis was performed using an FEI TECNAI G2 F20 Analytical TEM. Film thickness and refractive index were measured by a J. A. Woollam VASE Spectroscopic Ellipsometer. Chemical bond structures were investigated by a Magna 560 FTIR spectrometer. Film density was extracted from x-ray reflectivity measured by an X Pert MRD system. Water contact angle was measured by a CA100 Ramé-Hart goniometer. Dielectric recovery was performed in a vapor silylation chamber. TMCS was stored in the bubblers in the liquid phase at room temperature. They were carried into the silylation chamber by CO 2 gases. An optimized experimental condition was reached using a high CO 2 flow rate while maintaining the temperature of the chamber wall, tube, and substrate around 100 C. The damaged samples were treated by the silylation agents for identical 60 minutes at 250 torr. Detailed description of using vapor silylation agents for dielectric recovery can be found in ref. 6. RESULT and DISCUSSION Damage to patterned low-κ structures 0.50 CPS (a.u.) Hybrid 400 ev Hybrid 900 ev Radical After 400 ev hybrid O 2 C After radical O 2 C-H/Si Hybrid O Radical O Position (nm) 0.20 Incident Angle ( 0 ) Figure. 1. EELS line scan of the carbon Figure. 2. Relation between C-H/Si and concentration on the sidewall after the treatment the beam incident angles after 400 ev of 400 ev hybrid O 2, 900 ev hybrid O 2 and hybrid O 2 and radical O 2. radical O 2. Insets are TEM cross section images of trenches after hybrid and radical O 2 damage. The damage to patterned low-κ structures after hybrid beam treatment was different from that after radical beam treatment. TEM and EELS analyses were performed and the results are shown in Fig.1. To avoid contamination during TEM sample preparation, the damaged patterned low-κ films were coated with a protective layer of ~30 nm Cr and ~20 nm Au. The TEM images (insets in Fig.1) showed more distortion in the trench geometry by the radical beam. The EELS

3 line scans across the middle of the trench sidewall (Fig. 1) indicated plasma treatment induced a gradient carbon depletion region from the surface into the bulk. Compared with hybrid beams, the radical beams are isotropic and thus interact more effectively with the carbon atoms on the sidewall. This led to a carbon depletion depth after radical O 2 treatment as large as 140 nm, as compared with a depletion depth of 100nm after hybrid beam treatment at 400 ev. Increasing the hybrid beam energy to 900 ev decreased the depletion depth to 70 nm, which was still less than that of the radical beam. These results indicate that hybrid beams are more directional and penetrate less and cause less carbon loss on the sidewall. Angular dependence of damage to low-κ films Previous studies performed in our laboratory showed that hybrid oxygen beam treatment of blanket low-κ films produced more CO 2 and H 2 O uptake than radical oxygen beam treatment. XPS and FTIR analysis indicated that the energetic ions in the hybrid beam enhanced the formation of C=O and C-O bonds on the low-κ surface and released subsequently more CO 2 gases in the reaction byproducts [7]. In the patterned low-κ structure, the sidewall can be regarded as a surface that interacts with ions and neutrals in the plasma at different incident angles. To study the nature of the directional effect, we investigated plasma damage on low-κ films as a function of incidence angles. The blanket low-κ film was rotated from 0 to 90 corresponding to the beam normal to the sample surface at 0 and parallel at 90. To examine the surface chemistry change, we focused on the loss of surface carbon concentration due to methyl depletion. For this purpose, the XPS carbon 1s peak after hybrid beam treatment was deconvoluted into three sub-peaks of C-H ( ev), C-O ( ev) and C=O ( ev). Here the C-H bond represents the characteristic hydrophilic methyl groups. Fig.2 showed that the C-H/Si ratio after hybrid O 2 treatment increased with increasing incidence angles. In contrast, the C-H/Si ratio remained almost constant after radical O 2 treatment a b FTIR Peak Area Ratio Si-CH 3 /Si-O Si-OH/Si-O Hybrid O 2, 15 min FTIR Peak Area Ratio Si-CH 3 /Si-O Si-OH/Si-O Radical O 2, 15 min Incident Angle Incident Angle. Figure. 3. Relation between FTIR peak area ratio of Si-CH3/Si-O and Si-OH/Si-O and the beam incident angles after (a) 400 ev hybrid O 2 and (b) radical O 2. The FTIR peak ratios of Si-CH 3 (1274 cm -1 ) and Si-O (1250~950 cm -1 ), Si-OH (3600~3200 cm -1 ) and Si-O are shown in Fig.3a and 3b. After hybrid O 2 treatment, the Si- CH 3 /Si-O ratio increased while the Si-OH/Si-O decreased with increasing incidence angles.

4 Interestingly, the Si-CH 3 /Si-O and Si-OH/Si-O ratios in the low k film after radical O 2 beam treatments at varying angles did not show notable differences. Measurement of water contact angles and refractive indexes showed a similar trend. The water contact angle provides a measure of the intensity of the surface polar terms. In Fig. 4 (a), the contact angle gradually increased from ~68 to ~90 as the O 2 hybrid beam impinging from the vertical to the parallel direction. For radical O 2 beam, the contact angles remained at around 85Ü, independent of the beam incident angles where the small ±5 variation in the contact angle was probably due to process variations. To examine the extent of the dielectric loss, the refractive index n which can be correlated to the dielectric constant was measured as a function of incidence angle. In Fig. 4 (b), the refractive index at 633 nm was found to decrease with increasing incidence angles after hybrid O 2 beam treatment. In contrast, there was almost no angular dependence of the refractive index with the incidence angle for the radical beam a 1.44 b Water Contact Angle ( 0 ) Hybrid O 2 Radical O 2 Refractive Index Hybrid O 2 Radical O 2 65 Incident Angle ( 0 ) 1.36 Incident Angle ( 0 ) Figure. 4. Relation between (a) water contact angles, (b) refractive indexes and the beam incident angles after 400 ev hybrid O 2 and radical O 2. Table 1. Density, thickness and roughness of the low-κ films after hybrid and radical O 2 treatment at 0 0 and Sample treatment Density (g/cm 3 ) Thickness (nm) Roughness (nm) Hybrid O 2, (top) (Vertical) 1.34 (bottom) 57.1 ~10 Hybrid O 2, (top) (Parallel) 1.25 (bottom) 76.0 ~20 Radical O 2, 0 0 & 1.52 (top) (bottom) 46.1 ~15 The film density, thickness and roughness were extracted from x-ray reflectivity measurements. The results in Table.1 show a large difference in interface roughness between the top and the bottom layers, confirming the carbon density gradient observed by EELS. The highest density of the damaged layer after the hybrid beam treatment was found to be at 0, which can be attributed to the directional ion bombardment of the hybrid beam. At 90, with the sample surface parallel to the beam direction, the hybrid O 2 beam caused only a limited surface densification while the bulk remained largely unchanged. Compared with the 0 vertical hybrid O 2 treatment, the thickness of the densification layer was also reduced. The density gradient after

5 radical O 2 treatment was similar at 0 and 90. Compared with hybrid O 2, the radical O 2 induced a thicker densification layer although its density was lower. The density of the bottom layer after radical O 2 treatment was larger than that after hybrid beam treatment. This indicates that the neutral oxygen can diffuse deep into the bulk of the low-κ film to cause methyl loss while the surface densified layer after hybrid O 2 treatment blocked the penetration of ions and neutrals. Dielectric recovery by silylation Sidewall recovery was attempted with an optimized TMCS vapor silylation and the effect was examined by nano-beam EELS. Considering the bulk is much less damaged and is therefore regarded as a reference point, the signal ratio of EELS carbon K edge at -284 ev on the sidewall to that in the bulk was used to evaluate the carbon recovery on damaged patterned films(fig. 5). The ratio was only 0.56 on the hybrid O 2 damaged trenches, and it rose to 1.52 on radical O 2 damaged trenches. These results showed only partial recovery of surface carbon on the sidewall damaged by hybrid O 2. However, the recovery was more complete on the sidewall damaged by radical O 2. The difference of damage recovery could be attributed to more surface densification by ions that inhibited the rate-limiting mass transport of vapor chemicals. As indicated by Table 1, the average densities of the damaged layer after hybrid O 2 and radical O 2 at 90 were 1.67 g/cm 3 and 1.52 g/cm 3 respectively. The more densified surface after hybrid O 2 damage might inhibit the mass transfer of silylation agent, and thus weakened the dielectric recovery. C-K Hybrid O 2 + TMCS C-K Radical O 2 + TMCS a C s :C B ~0.56:1 b C s :C B ~1.52:1 Fig. 5. Nano-beam EELS analysis of carbon recovery on the trench sidewall damaged by (a) hybrid and (b) radical O 2 plasma respectively. (Beam size=0.7 nm). C S and C B are carbon K edge height at sidewall surface and bulk. CONCLUSIONS Plasma damage to patterned low-κ dielectrics is a complicated phenomenon depending on the plasma species and the pattern structures. The energetic ions can remove methyl groups and induce surface densification but the effect is anisotropic and thus depends on the impingement angles to the low-κ surface. Radicals, with less kinetic energy, are chemically active but are isotropic, which induce relatively uniform damage independent of the impingement angle. The depth of carbon depletion is determined by the diffusion of neutral species into low-κ. Therefore, the radicals can cause deeper damage to the sidewall than ions, particularly for porous low-κ dielectrics. In comparison, dielectric recovery by TMCS vapor silylation was more complete on the sidewall after radical plasma damage because the surface densification by ions can block the mass transport of silylation agents.

6 ACKNOWLEDGMENTS This work was performed in part at the Microelectronics Research Center at UT Austin of National Nanofabrication Infrastructure Network supported by National Science Foundation under award # REFERENCES 1. International Technology Roadmap for Semiconductors, Semiconductor Industry Association, D. Shamiryan, M. R. Baklanov, S. Vanhaelemeersch and K. Maex, J. Vac. Sci. Technol. B 20, 1923 (2002) 3. H. Shi, J. Bao, J. Liu, H. Huang, S. Smith, Q. Zhao, P.S. Ho, M.D. Goodner, M. Moinpour, G.M. Kloster, Proc. of AMC, 64, (2007) 4. T. Abell, J. Lee, M. Moinpour, Mater. Res. Soc. Symp. Proc. 914, F04-02 (2006) 5. J. Bao, H. Shi, J. Liu, H. Huang, P. S. Ho, M. D. Goodner, M. Moinpour and G. M. Kloster, J. Vac. Sci. Technol. B 26, 219 (2008). 6. H. Shi, J. Bao, H. Huang, J. Liu, S. Smith, W. Kim, Y. Sun, P. S. Ho, M. L. McSwiney, M. Moinpour and G. M. Kloster, Mater. Res. Soc. Symp. Proc. 1079, N02-10, (2008) 7. J. Bao, H. Shi, H. Huang, P. S. Ho, M. L. McSwiney, M. D. Goodner, M. Moinpour and G. M. Kloster, AVS 54 th Symp., 2007

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application ABSTRACT D. A. P. Bulla and N. I. Morimoto Laboratório de Sistemas Integráveis da EPUSP São Paulo - S.P. -

More information

Evaluation of a New Advanced Low-k Material

Evaluation of a New Advanced Low-k Material Evaluation of a New Advanced Low-k Material E. A. Smirnov 1, Kris Vanstreels, Patrick Verdonck, Ivan Ciofi, Denis Shamiryan, and Mikhail R. Baklanov, IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium, E-mail:

More information

Anomaly of Film Porosity Dependence on Deposition Rate

Anomaly of Film Porosity Dependence on Deposition Rate Anomaly of Film Porosity Dependence on Deposition Rate Stephen P. Stagon and Hanchen Huang* Department of Mechanical Engineering, University of Connecticut, Storrs, CT 06269 J. Kevin Baldwin and Amit Misra

More information

X-ray Photoelectron Spectroscopy

X-ray Photoelectron Spectroscopy X-ray Photoelectron Spectroscopy X-ray photoelectron spectroscopy (XPS) is a non-destructive technique used to analyze the elemental compositions, chemical and electronic states of materials. XPS has a

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2,

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces

Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces Cathodoluminescence measurements of suboxide band-tail and Si dangling bond states at ultrathin Si SiO 2 interfaces A. P. Young a) Department of Electrical Engineering, The Ohio State University, Columbus,

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

PLASMA CLEANING TECHNIQUES AND FUTURE APPLICATIONS IN ENVIRONMENTALLY CONSCIOUS MANUFACTURING

PLASMA CLEANING TECHNIQUES AND FUTURE APPLICATIONS IN ENVIRONMENTALLY CONSCIOUS MANUFACTURING PLASMA CLEANING TECHNIQUES AND FUTURE APPLICATIONS IN ENVIRONMENTALLY CONSCIOUS MANUFACTURING Pamela P. Ward Dept. 1812, Sandia National Laboratories Albuquerque, New Mexico Abstract Plasmas have frequently

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

MODEL PicoMill TEM specimen preparation system. Achieve ultimate specimen quality free from amorphous and implanted layers

MODEL PicoMill TEM specimen preparation system. Achieve ultimate specimen quality free from amorphous and implanted layers MODEL 1080 PicoMill TEM specimen preparation system Combines an ultra-low energy, inert gas ion source, and a scanning electron column with multiple detectors to yield optimal TEM specimens. POST-FIB PROCESSING

More information

Ion channeling effects on the focused ion beam milling of Cu

Ion channeling effects on the focused ion beam milling of Cu Ion channeling effects on the focused ion beam milling of Cu B. W. Kempshall a) and S. M. Schwarz Department of Mechanical, Materials, and Aerospace Engineering, University of Central Florida, P.O. Box

More information

Hydrophilic Modification of Plastic Surface by Using Microwave Plasma Irradiation

Hydrophilic Modification of Plastic Surface by Using Microwave Plasma Irradiation Hydrophilic Modification of Plastic Surface by Using Microwave Plasma Irradiation YOSHIHISA Kumiko : Advanced Applied Science Department, Research Laboratory, Corporate Research & Development YOSHIMURA

More information

Specimen configuration

Specimen configuration APPLICATIONNOTE Model 1040 NanoMill TEM specimen preparation system Specimen configuration Preparing focused ion beam (FIB) milled specimens for submission to Fischione Instruments. The Model 1040 NanoMill

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Understanding Optical Coatings For Military Applications

Understanding Optical Coatings For Military Applications Understanding Optical Coatings For Military Applications By Trey Turner, Chief Technology Officer, REO Virtually all optical components used in military applications, such as target designation, rangefinding

More information

An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1

An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1 Materials Transactions, Vol. 44, No. 3 (2003) pp. 389 to 395 #2003 The Japan Institute of Metals An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1 Rongguang

More information

Thermal Annealing Effects on the Thermoelectric and Optical Properties of SiO 2 /SiO 2 +Au Multilayer Thin Films

Thermal Annealing Effects on the Thermoelectric and Optical Properties of SiO 2 /SiO 2 +Au Multilayer Thin Films American Journal of Materials Science 2015, 5(3A): 31-35 DOI: 10.5923/s.materials.201502.05 Thermal Annealing Effects on the Thermoelectric and Optical Properties of SiO 2 /SiO 2 +Au Multilayer Thin Films

More information

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers Anti-Reflection Custom Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition Anti-Reflection on Optical Fibers OptoSigma supplies a wide selection of optical

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance Scott D. Szymanski March Plasma Systems Concord, California, U.S.A. sszymanski@marchplasma.com

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Plasma for Underfill Process in Flip Chip Packaging

Plasma for Underfill Process in Flip Chip Packaging Plasma for Underfill Process in Flip Chip Packaging Jack Zhao and James D. Getty Nordson MARCH 2470-A Bates Avenue Concord, California 94520-1294 USA Published by Nordson MARCH www.nordsonmarch.com 2015

More information

Selective atomic layer deposition of zirconium oxide on copper patterned silicon substrate

Selective atomic layer deposition of zirconium oxide on copper patterned silicon substrate Selective atomic layer deposition of zirconium oxide on copper patterned silicon substrate Jaya Parulekar, Department of Chemical and Biological Engineering, Illinois Institute of Technology, Chicago,

More information

Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride

Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride Characterization of Polycrystalline SiC Films Grown by HW-CVD using Silicon Tetrafluoride Katsuya Abe, Yohei Nagasaka, Takahiro Kida, Tomohiko Yamakami, Rinpei Hayashibe and Kiichi Kamimura, Faculty of

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

Hei Wong.

Hei Wong. Defects and Disorders in Hafnium Oxide and at Hafnium Oxide/Silicon Interface Hei Wong City University of Hong Kong Email: heiwong@ieee.org Tokyo MQ2012 1 Outline 1. Introduction, disorders and defects

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Investigation of overpotential and seed thickness on damascene copper electroplating

Investigation of overpotential and seed thickness on damascene copper electroplating Surface & Coatings Technology 200 (2006) 3112 3116 www.elsevier.com/locate/surfcoat Investigation of overpotential and on damascene copper electroplating K.W. Chen a, Y.L. Wang b, *, L. Chang a, F.Y. Li

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Gas field ion source and liquid metal ion source charged particle material interaction study for semiconductor nanomachining applications

Gas field ion source and liquid metal ion source charged particle material interaction study for semiconductor nanomachining applications Gas field ion source and liquid metal ion source charged particle material interaction study for semiconductor nanomachining applications Shida Tan, a Richard Livengood, and Darryl Shima Intel Corporation,

More information

Study on the XPS-ESCA of Aluminum Phosphide Products

Study on the XPS-ESCA of Aluminum Phosphide Products Int. J. Mol. Sci. 2005, 6, 198 202 International Journal of Molecular Sciences ISSN 1422-0067 2005 by MDPI www.mdpi.org/ijms/ Study on the XPS-ESCA of Aluminum Phosphide Products Canping Pan *, Weixi Li

More information

In-Situ Low-Angle Cross Sectioning: Bevel Slope Flattening due to Self-Alignment Effects

In-Situ Low-Angle Cross Sectioning: Bevel Slope Flattening due to Self-Alignment Effects In-Situ Low-Angle Cross Sectioning: Bevel Slope Flattening due to Self-Alignment Effects UWE SCHEITHAUER SIEMENS AG, CT MM 7, Otto-Hahn-Ring 6, 81739 München, Germany Phone: + 49 89 636 44143 E-mail: uwe.scheithauer@siemens.com

More information

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Properties of TiN thin films grown on SiO 2 by reactive HiPIMS Friðrik Magnus 1, Árni S. Ingason 1, Ólafur B. Sveinsson 1, S. Shayestehaminzadeh 1, Sveinn Ólafsson 1 and Jón Tómas Guðmundsson 1,2 1 Science

More information

Hydrophobic Metallic Nanorods coated with Teflon Nanopatches by Glancing Angle Deposition

Hydrophobic Metallic Nanorods coated with Teflon Nanopatches by Glancing Angle Deposition Mater. Res. Soc. Symp. Proc. Vol. 1188 2009 Materials Research Society 1188-LL09-03 Hydrophobic Metallic Nanorods coated with Teflon Nanopatches by Glancing Angle Deposition Wisam J. Khudhayer, Rajesh

More information

Advanced Low Dielectric Constant Materials Learning and Perspectives

Advanced Low Dielectric Constant Materials Learning and Perspectives Advanced Low Dielectric onstant Materials Learning and Perspectives Geraud Dubois IBM Almaden Research enter, San Jose, A Department of Materials Science and Engineering, Stanford University, A 2 4/26/2018

More information

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive Jerander Lai, Yi-Wei Chen, Nien-Ting Ho, Yu Shan Shiu, J F Lin Shuen Chen Lei, Nick ZH Chang, Ling Chun Chou, C C Huang, and J Y Wu

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Optical Constants of Ge and GeO 2 from Ellipsometry

Optical Constants of Ge and GeO 2 from Ellipsometry Optical Constants of Ge and GeO 2 from Ellipsometry T. Nathan Nunley, Nalin Fernando, Jaime Moya, Nuwanjula S. Samarasingha, Cayla M. Nelson, Stefan Zollner Department of Physics, New Mexico State University,

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Band-gap Engineering in Sputter Deposited Amorphous/Microcrystalline Sc x Ga 1-x N

Band-gap Engineering in Sputter Deposited Amorphous/Microcrystalline Sc x Ga 1-x N NASA/CR-2001-211241 ICASE Report No. 2001-36 Band-gap Engineering in Sputter Deposited Amorphous/Microcrystalline Sc x Ga 1-x N Mark E. Little ICASE, Hampton, Virginia Martin E. Kordesch Ohio University,

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Simple method for formation of nanometer scale holes in membranes T. Schenkel 1, E. A. Stach, V. Radmilovic, S.-J. Park, and A. Persaud E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 When

More information

A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms.

A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms. A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms. Journal: 2011 MRS Fall Meeting Manuscript ID: Draft Manuscript

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

University, Piscataway, New Jersey 08854, USA. Massachusetts 02138, USA

University, Piscataway, New Jersey 08854, USA. Massachusetts 02138, USA 10.1149/1.2779073 The Electrochemical Society In-situ FTIR Study of Atomic Layer Deposition (ALD) of Copper Metal Films Min Dai a, Jinhee Kwon a, Erik Langereis a, Leszek Wielunski a, Yves J. Chabal a

More information

High Performance Lithium Battery Anodes Using Silicon Nanowires

High Performance Lithium Battery Anodes Using Silicon Nanowires Supporting Online Materials For High Performance Lithium Battery Anodes Using Silicon Nanowires Candace K. Chan, Hailin Peng, Gao Liu, Kevin McIlwrath, Xiao Feng Zhang, Robert A. Huggins and Yi Cui * *To

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION Investigating and Understanding the Initial Growth Mechanisms of Catalyst-Free Growth of 1D SiC Nanostructures Yoo Youl Choi and Doo Jin Choi *, Department of Materials Science

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Corrosion Protect DLC Coating on Steel and Hastelloy

Corrosion Protect DLC Coating on Steel and Hastelloy Materials Transactions, Vol. 49, No. 6 (2008) pp. 1333 to 1337 #2008 The Japan Institute of Metals Corrosion Protect DLC Coating on Steel and Hastelloy Hironobu Miya and Jie Wang Semiconductor Equipment

More information

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge R. Chanson a, E. Pargon a, M. Darnon a, C. Petit Etienne a, S. David a, M. Fouchier a, B. Glueck b, P. Brianceau

More information

IMP EPD End Point Detector

IMP EPD End Point Detector IMP EPD End Point Detector An overview of the Hiden Analytical SIMS end point detector system for ion beam etch applications IMP-EPD Presentation Topics The topics covered in the presentation include:

More information

Deforming water droplet with a superhydrophobic silica coating

Deforming water droplet with a superhydrophobic silica coating Supplementary Information for Deforming water droplet with a superhydrophobic silica coating Xiaoguang Li*, Jun Shen Shanghai Key Laboratory of Special Artificial Microstructure Materials and Technology,

More information

Supporting Information. Flexible, Low-Power Thin-Film Transistors (TFTs) Made of Vapor-Phase. Synthesized High-k, Ultrathin Polymer Gate Dielectrics

Supporting Information. Flexible, Low-Power Thin-Film Transistors (TFTs) Made of Vapor-Phase. Synthesized High-k, Ultrathin Polymer Gate Dielectrics Supporting Information Flexible, Low-Power Thin-Film Transistors (TFTs) Made of Vapor-Phase Synthesized High-k, Ultrathin Polymer Gate Dielectrics Junhwan Choi, Munkyu Joo, Hyejeong Seong, Kwanyong Pak,

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Atomic Layer Deposition

Atomic Layer Deposition Atomic Layer Deposition Ville Malinen R&D Engineer Nanopinnoitteita koneenrakentajille 2010 1 Introduction 1) Overview of Beneq 2) Atomic Layer Deposition (ALD), to deposit thin films, which Are dense

More information

Thermochromic halide perovskite solar cells

Thermochromic halide perovskite solar cells SUPPLEMENTARY INFORMATION Articles https://doi.org/10.1038/s41563-017-0006-0 In the format provided by the authors and unedited. Thermochromic halide perovskite solar cells Jia Lin 1,2,3, Minliang Lai

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated Degradation Test

Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated Degradation Test Materials Transactions, Vol. 52, No. 3 (2011) pp. 464 to 468 #2011 The Japan Institute of Metals Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated

More information

SILICON carbide (SiC) is one of the attractive wide band

SILICON carbide (SiC) is one of the attractive wide band 1362 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 32, NO. 3, JUNE 2004 Magnetically Enhanced Inductively Coupled Plasma Etching of 6H-SiC D. W. Kim, H. Y. Lee, S. J. Kyoung, H. S. Kim, Y. J. Sung, S. H. Chae,

More information

AFM and AUGER investigations ofas-deposited and heat treated copper coatings on glassy carbon surfaces with titanium intermediate layers

AFM and AUGER investigations ofas-deposited and heat treated copper coatings on glassy carbon surfaces with titanium intermediate layers Vacuum 71 (2003) 293 298 AFM and AUGER investigations ofas-deposited and heat treated copper coatings on glassy carbon surfaces with titanium intermediate layers E. Neubauer a,b, *, C. Eisenmenger-Sittner

More information

Variable angle spectroscopic ellipsometry of fluorocarbon films from hot filament chemical vapor deposition

Variable angle spectroscopic ellipsometry of fluorocarbon films from hot filament chemical vapor deposition Variable angle spectroscopic ellipsometry of fluorocarbon films from hot filament chemical vapor deposition Kenneth K. S. Lau Department of Chemical Engineering, Massachusetts Institute of Technology,

More information

The most important parameters determining the performance of a cutting blade are:

The most important parameters determining the performance of a cutting blade are: Diamond blades exceptionally wear resistant and extremely sharp P. Gluche 1, S. Strobel 1, H.-J. Fecht 2 1 GFD Gesellschaft für Diamantprodukte mbh, Lise-Meitner-Str. 13, 89081 Ulm, Germany 2 University

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

DEPOSITION OF THIN FILMS ON POLYCARBONATES BY PULSE DIELECTRIC BARRIER DISCHARGE

DEPOSITION OF THIN FILMS ON POLYCARBONATES BY PULSE DIELECTRIC BARRIER DISCHARGE a DEPOSITION OF THIN FILMS ON POLYCARBONATES BY PULSE DIELECTRIC BARRIER DISCHARGE T. Opalińska a, B. Ulejczyk a, L. Karpiński b, K. Schmidt-Szałowski c a) Industrial Chemistry Research Institute, Rydygiera

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADP012232 TITLE: Microwave Plasma CVD of Silicon Nanocrystalline and Amorphous Silicon as a Function of Deposition Conditions DISTRIBUTION:

More information

Interface studies in a Ti-6Al-4VJsigma fiber composite

Interface studies in a Ti-6Al-4VJsigma fiber composite JOURNAL DE PHYSIQUE IV Colloque C7, supplkment au Journal de Physique 111, Volume 3, novembre 1993 Interface studies in a Ti-6Al-4VJsigma fiber composite D. UPADHYAYA, M.A. BAKER*, C.M. WARD-CLOSE**, I?

More information

This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and

This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and This article appeared in a journal published by Elsevier. The attached copy is furnished to the author for internal non-commercial research and education use, including for instruction at the authors institution

More information

Layer Deposition. (Sn,Al)O x Films Grown by Atomic. Jaeyeong Heo, Yiqun Liu, Prasert Sinsermsuksakul, Zhefeng Li, Jaeyeong Heo.

Layer Deposition. (Sn,Al)O x Films Grown by Atomic. Jaeyeong Heo, Yiqun Liu, Prasert Sinsermsuksakul, Zhefeng Li, Jaeyeong Heo. 1/14 (Sn,Al)O x Films Grown by Atomic Layer Deposition June 29 th 2011, Yiqun Liu, Prasert Sinsermsuksakul, Zhefeng Li, Leizhi Sun, Wontae Noh, and Roy G. Gordon Harvard University, Cambridge, MA, USA

More information

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Sub-5 nm Structures Process Development and Fabrication Over Large Areas A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication

More information

R&D ACTIVITIES AT ASSCP-BHEL,GURGAON IN SOLAR PV. DST-EPSRC Workshop on Solar Energy Research

R&D ACTIVITIES AT ASSCP-BHEL,GURGAON IN SOLAR PV. DST-EPSRC Workshop on Solar Energy Research R&D ACTIVITIES AT -BHEL,GURGAON IN SOLAR PV at the DST-EPSRC Workshop on Solar Energy Research (22 nd 23 rd April, 2009) by Dr.R.K. Bhogra, Addl. General Manager & Head Email: cpdrkb@bhel.co.in Dr.A.K.

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE K. Saga, H. Kuniyasu, and T. Hattori, M. B. Korzenski*, P.M. Visintin*, T. H. Baum* Sony Corporation Atsugi 243-8585 JAPAN Advanced

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Growth of SiC thin films on graphite for oxidation-protective coating

Growth of SiC thin films on graphite for oxidation-protective coating Growth of SiC thin films on graphite for oxidation-protective coating J.-H. Boo, a) M. C. Kim, and S.-B. Lee Department of Chemistry, Sungkyunkwan University, Suwon 440-746, Korea S.-J. Park and J.-G.

More information

Microwave Plasma Processing

Microwave Plasma Processing Microwave Plasma Processing MUEGGE GMBH Hochstraße 4-6 64385 Reichelsheim Fon +49 (0) 6164-93 07 11 Fax +49 (0) 6164-93 07 93 info@muegge.de www.muegge.de Microwave Plasma Processing Microwave Plasma Technology:

More information

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Christopher E. D. Chidsey Department of Chemistry Stanford University Collaborators: Paul C. McIntyre, Y.W. Chen, J.D. Prange,

More information

Failure Analysis of Coating Adhesion: Peeling of Internal Oxidation Layer over Electrical Steel after Stress Relief Annealing

Failure Analysis of Coating Adhesion: Peeling of Internal Oxidation Layer over Electrical Steel after Stress Relief Annealing China Steel Technical Report, No. 30, pp.27-33, (2017) Hsin-Wei Lin 27 Failure Analysis of Coating Adhesion: Peeling of Internal Oxidation Layer over Electrical Steel after Stress Relief Annealing HSIN-WEI

More information