Surface roughness of optical quartz substrate by chemical mechanical polishing

Size: px
Start display at page:

Download "Surface roughness of optical quartz substrate by chemical mechanical polishing"

Transcription

1 Vol. 35, No. 11 Journal of Semiconductors November 2014 Surface roughness of optical quartz substrate by chemical mechanical polishing Duan Bo( 段波 ), Zhou Jianwei( 周建伟 ), Liu Yuling( 刘玉岭 ), Sun Mingbin( 孙铭斌 ), and Zhang Yufeng( 张玉峰 ) Institute of Microelectronics, Hebei University of Technology, Tianjin , China Abstract: In order to achieve a high-quality quartz glass substrate and to improve the performance of TiO 2 antireflection coating, chemical mechanical polishing (CMP) method was used. During CMP process, some process parameters including pressure, polishing head speed, platen speed, slurry flow rate, polishing time, and slurry temperature were optimized to obtain lower quartz surface roughness. According to the experiment results, when pressure was 0.75 psi, polishing head speed was 65 rpm, platen speed was 60 rpm, slurry flow rate 150 ml/min, slurry temperature 20 ı C, and polishing time was 60 s, the material removal rate (MRR) was 56.8 nm/min and the surface roughness (Ra) was 1.93 Å (the scanned area was m 2 /. These results were suitable for the industrial production requirements. Key words: quartz substrate; surface roughness; removal rate; CMP; process parameters DOI: / /35/11/ EEACC: Introduction Owing to the stable chemical properties and excellent physical properties, quartz substrates are widely used in aerospace, optoelectronics, nuclear energy and other advanced technology areas, especially as a substrate of anti-reflection layer applied to the optical system. The surface roughness will affect the performance of the optical system. Furthermore, with the rapid development of the optical system in recent years, the requirements for the quartz substrate surface roughness have become tighter, and have been improved from the nanoscale to sub-nanoscale. For example, high energy laser reflector Œ1 and laser gyro mirror Œ2 surface roughness are required to be less than 1 nm. There are many methods to get a polished surface of quartz substrates, such as classical polishing, float polishing Œ3, chemical mechanical polishing Œ4 6 (CMP), magnetic rheological polishing (Kurobe et al., 1986, Tani and Kawata, 1984, Umehara and Kato, 1996, Kordonski and Golini, 1999 and Yamaguchi et al., 2003) Œ7, and ion beam polishing Œ8; 9. As long as they are used reasonably, those methods can produce a nanoscale surface and even an ultra smooth surface of subnanoscale. However, the classical polishing belongs to slow polishing and surface quality of the wafer is easily affected by the human factor. In addition, such as float polishing, magnetic rheological polishing and ion beam polishing belong to contact-free polishing methods, which have some disadvantages including complex process, expensive equipment, and low material removal rate. Compared to all above, chemical mechanical polishing has been widely applied to wafer surface processing, with which the material removal rate is faster, and the process is simple and easy to control. Chemical mechanical polishing is a process of smoothing a wafer surface by using a combination of chemical reactions and mechanical forces Œ10. Numerous parameters are involved in the material removal process, such as the type of abrasive, pressure on the wafer, relative velocity between the polishing pad and the wafer, polishing pad, and substrate characteristics Œ11. Hed and Edwards studied the relationship between surface roughness and subsurface damage of optical glass Œ12. He et al. investigated the machined surface microstructure of optical glasses Œ13. Li et al. discussed the characteristics of optics polished with a polyurethane pad Œ14. However, in the previous work, the polishing process parameters of optical quartz glass (as a substrate of TiO 2 thin film), CMP was not investigated. In this paper, in order to analyze polishing characteristics and mechanism during the CMP process, the relationship between the polishing parameters and surface roughness was investigated. 2. Experiment All quartz substrates were polished by an E460E CMP polisher of Alpsitec Company. Rohmand Haas IC 1000TM pad was used, and the ex-situ pad conditioning was conducted with a TBW diamond conditioner. The samples material removal rate was calculated basing on the weight loss method, and the weight loss measured by an analytical balance (Mettler Toledo AB204-N). Surface roughness parameters were measured using by Agilent 5600LS AFM. The samples were supplied by Institute of Optics and Electronics, Chinese Academy of Sciences. The schematic diagram of the CMP operation processes is illustrated in Fig. 1. The structure of quartz substrates (99.99% purity) is shown in Fig. 2, and the diameter of the sample was 30 mm. The removal rate was calculated from the following formulas Œ15 : * Project supported by the Natural Science Foundation of Hebei Province (No. E ), the Science and Technology Plan Project of Hebei Province (Nos. Z , ), and the Hebei Province Department of Education Fund (No ). Corresponding author @qq.com Received 16 March 2014, revised manuscript received 19 May Chinese Institute of Electronics

2 Fig. 1. Schematic diagram of CMP processing. Fig. 2. Schematic of quartz substrates structure. MRR D m R 2 t : (1) In the formula, MRR is the removal rate; m is the weight change before and after polishing; is the material density; R is the radius; t is the polishing time. In this research, the slurry consisted of chelating agent, surfactant and silica sol (mean particle size 30 nm). The ph value of the slurry was Results and discussion Figure 3 shows the changing of quartz substrates MRR and Ra under the different pressure. Experimental results indicated that the Ra approached a constant at lower pressure ( psi) and then increased with further increasing of pressure. At low pressure, the slurry played a role of lubricant between the sample and polishing pad, so the friction would be reduced, which made the surface roughness better and without scratches. However, with the pressure increasing the polishing temperature increased, which caused the quartz surface defects such as scratches, orange peel and subsurface damage layer. Figure 3 also shows the MRR increased with the increasing of pressure. Furthermore, the MRR of quartz substrates was nm/min of the lowest value at 0.5 psi and nm/min of the highest value at 2.0 psi; such a result revealed that the MRR was mainly affected by the mechanical parts of the polishing process. From the above results, the optimum pressure of quartz substrate polishing was 0.75 psi considering both the surface roughness and Fig. 3. Effect of pressure on Ra and MRR. the removal rate. In the following a removal mechanism is proposed based on possible interactions of the hydroxyl ion and sample surface (as shown in Fig. 4). In the presence of hydroxyl ions, the Si O bonds are converted to Si OH and the degree of conversion increases as the ph is increased. Therefore, the hydroxyl ions could attack the Si atom in Si O bond, and weaken the Si O bonds. The hydration layer was carried by the abrasive and polishing pad, and left in the slurry. Figure 5 shows the MRR and Ra under different rotation speed conditions (polishing head/platen speed). The Ra slowly decreased and then increased with the increasing of rotation speed. Experimental results indicated that the minimum Ra of 1.92 nm was obtained under the condition of 65/60 rpm. At a lower speed, such as 35/30 rpm, most of the quartz surface layer was removed by chemical dissolution into the slurry and less of it was removed by mechanical action of the slurry particles. However, chemical corrosion was serious and it wasn t favorable to an ameliorated degree of finish. To further increase the speed, the mechanical action would improve, which made the slurry uniformly distribute in the polishing platen and the chemical reaction become full. In the mean time, the reaction resultants were carried away by abrasives and the polishing pad. Figure 6 shows a schematic diagram of the polishing process. As a result, the higher removal rate with good roughness was achieved. If the rotation speed was too high, the friction would increase and increase the surface scratches. The MRR by changing the rotation speed showed the linear increasing tendency. The highest MRR of nm/min was obtained in 103/97 rpm condition. But the high rotation speed made the slurry too rapidly separate from the sample surface. So the slurry could not play a role of lubricant between the sample and polishing pad. The surface scratches of the quartz substrate increased after polishing. For all of the above, the rotation speed 65/60 rpm was selected. In Fig. 7, experimental results revealed that the MRR didn t show a great difference in relation to the slurry flow rate. The MRR was about nm/min in all slurry flow rate conditions. When the flow rate reached 100 ml/min, slurry between the pad and the sample surface had completely reacted, therefore, chemical reaction resultants could be removed rapidly from the sample surface. Hence, the MRR basically reached saturation. In addition, research shows that the slurry

3 Fig. 4. The removal mechanism is proposed based on interactions of the hydroxyl ion with sample surface. Fig. 5. Effect of polishing head/platen speed on Ra and MRR. Fig. 7. Effect of slurry flow rate on Ra and MRR. Fig. 6. Schematic diagram of the polishing process. flow can also influence surface roughness. If the flow was too small, friction force would increase, which made the surface quality decrease obviously. The large flow could make chemical reaction resultants rapidly separate from the sample surface and reduce the part of the higher temperature of the sample surface resulting from friction. However, as the flow rate increased to 300 ml/min, the flow would not be favorable to an ameliorated surface topography. This was because the slurry between the pad and the sample surface had been lost before it completely reacted, which made the slurry non-uniformly distributed in the polishing pad, and result in intense local chem- Fig. 8. Effect of slurry temperature on Ra and MRR. ical reaction. In this reaction process, SiO 2 and the chelating agent can be changed into soluble amine salts. The molecular volumes of amine salts were easier to separate from the surface under the friction of abrasive and polishing pad. The possible

4 Fig. 9. Comparison surface morphology images of quartz substrate after CMP by different slurry temperature. Fig. 10. Effect of polishing time on Ra and MRR. Fig. 11. Surface roughness and removal rates after quartz substrate polishing at optimized process parameters. chemical reactions were shown in the following equations: R.NH 2 / 4 C 4H 2 O! ŒR.NH 3 / 4 4C C 4OH ; SiO 2 C 2OH!.SiO 3 / 2 C H 2 O; ŒR.NH 3 / 4 4C C 2.SiO 3 / 2! ŒR.NH 3 / 4.SiO 3 / 2 : For all of the above, the improved Ra of 0.86 nm was obtained in 150 ml/min of slurry flow rate conditions. Figure 8 shows the changing of MRR and Ra with an increase of slurry temperature. The MRR improved when the temperature changed from 20 to 30 ı C, but when the temperature was over 40 ı C the MRR decreased. The MRR mainly included two rates, one was the chemical reaction rate and the other was the desorption rate of resultants. The balance and synthetical effects of two rates decided the total removal rate. Hence, even if the chemical reaction was very rapid, if desorption was very slow, the total removal rate was not high. Furthermore, if the temperature was too high, the slurry was easy to volatilize and chemical reaction was too rapid, which easily formed a polishing haze. Figure 9 shows comparison surface morphology images of quartz substrate after CMP by different slurry temperature. The Ra increased with increasing slurry temperature. With slurry temperature increased, the chemical reaction of the slurry would be enhanced, most of the reaction resultants could not be removed rapidly from the sample surface, which would not be favorable to an ameliorated degree of finish. Considering the surface roughness, the appropriate slurry temperature was selected as being 20 ı C. Figure 10 shows the Ra and MRR under different polishing time conditions. Experimental results indicated that the MRR increased rapidly from to over nm/min after 60 s of

5 Table 1. The process conditions of quartz substrate CMP. 4. Conclusions Polishing process Parameter Pressure (psi) 0.75 Polishing head speed (rpm) 65 Polishing platen speed (rpm) 60 Slurry flow rate (ml/min) 150 Slurry temperature ( ı C) Polishing time (s) In this paper, the quartz substrate was polished by CMP process under different process parameters. According to the process analysis and experiment results, the appropriate process parameters were established as follows: pressure, polishing head speed, platen speed, slurry flow rate, slurry temperature, and polishing time were 0.75 psi, 65 rpm, 60 rpm, 150 ml/min, 20 ı C, and 60 s, respectively. The removal rate and the surface roughness were 56.8 nm/min and 1.93 Å (the scanned area was m 2 / in such conditions. References Fig. 12. Before polishing. Fig. 13. After polishing. polishing time. This revealed that the removal rate in the initial polishing process was mainly affected by the mechanical action of the CMP process without the adequate effects of slurry. However, the increasing MRR slowly declined after 60 s. This revealed that the abrasives on the polishing pad hindered the increase of removal rate by the inadequate flow of slurry. Figure 10 shows the Ra of sample was 0.22 nm of the lowest value at 60 s. To obtain the better characteristics of sample, the Ra has to be below 5 Å. Experimental results indicated that the proper polishing time was 60 s for the higher removal rate and better surface. The appropriate process parameters in this experiment are shown in Table 1. The removal rate and the surface roughness were 56.8 nm/min and 1.93 Å at the condition. Figure 11 shows surface roughness values and removal rate of quartz substrate. Figures 12 and 13 show AFM images of sample surface morphologies before polishing and after polishing, respectively. [1] Yao J J, Xu C, Ma J Y, et al. Effects of deposition rates on laser damage threshold of TiO 2 /SiO 2 high reflectors. Appl Surf Sci, 2009, 255(9): 4733 [2] Wang Z G, Long X W, Wang F. Bias characteristics of a multioscillator ring laser gyro with consideration of differential losses. Optics & Laser Technology, 2013, 48: 285 [3] Umehara N, Kirtane T, Gerlick R, et al. A new apparatus for finishing large size/large batch silicon nitride (Si 3 N 4 / balls for hybrid bearing applications by magnetic float polishing (MFP). International Journal of Machine Tools and Manufacture, 2006, 46(2): 151 [4] Wang Chenwei, Liu Yuling, Tian Jianyin, et al. Planarization properties of an alkaline slurry without an inhibitor on copper patterned wafer CMP. Journal of Semiconductors, 2012, 33(11): [5] Dettoni F, Rivoire M, Gaillard S, et al. High resolution nanotopography characterization at die scale of 28 nm FDSOI CMOS front-end CMP processes. Microelectron Eng, 2014, 113: 105 [6] Vasilev B, Bott S, Rzehak R, et al. A method for characterizing the pad surface texture and modeling its impact on the planarization in CMP. Microelectron Eng, 2013, 104: 48 [7] Furuya T, Wu Y, Nomura M, et al. Fundamental performance of magnetic compound fluid polishing liquid in contact-free polishing of metal surface. Journal of Materials Processing Technology, 2008, 201(1 3): 536 [8] Zoethout E, Louis E, Bijkerk F. Real-space insight in the nanometer scale roughness development during growth and ion beam polishing of molybdenum silicon multilayer films. Appl Surf Sci, 2013, 285(Part B): 293 [9] Anopchenko A, Jergel M, Majková E, et al. Effect of substrate heating and ion beam polishing on the interface quality in Mo/Si multilayers X-ray comparative study. Physica B: Condensed Matter, 2001, 305(1): 14 [10] Lee H S, Jeong H D. Chemical and mechanical balance in polishing of electronic materials for defect-free surfaces. CIRP Annals- Manufacturing Technology, 2009, 58: 485 [11] Lee H S, Jeong H D, Dornfeld D A. Semi-empirical material removal rate distribution model for SiO 2 chemical mechanical polishing (CMP) processes. Precision Engineering, 2013, 37: 483 [12] Hed P P, Edwards D F. Optical glass fabrication technology: relationship between surface roughness and subsurface damage. Appl Opt, 1987, 26(21): 4677 [13] He Xingyang, Su Ying. Machined surface microstructure of optical silica glasses. Journal of Wuhan University of Technology, 2010, 32(13): 34 [14] Li Y, Hou J, Xu Q, et al. The characteristics of optics polished with a polyurethane pad. Opt Express, 2008, 16: [15] Wei Wenhao, Liu Yuling, Wang Chenwei, et al. Study of a novel alkaline barrier slurry applied in copper chemical mechanical planarization. Journal of Functional Materials, 2012, 43(23):

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Post CMP Cleaning Austin 2017 Ratanak Yim (Viorel Balan) R. Yim 1,2,5, C. Perrot 2, V. Balan 1, P-Y. Friot 3, B. Qian 3, N. Chiou

More information

Preparation and Polishing Properties of Spherical Porous Silica Abrasive

Preparation and Polishing Properties of Spherical Porous Silica Abrasive American Journal of Nanotechnology 1 (1): 32-39, 2010 ISSN 1949-0216 2010 Science Publications Preparation and Polishing Properties of Spherical Porous Silica Abrasive Hong Lei, Hu Li, Ping Liu and Ruling

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

American Journal of Nanotechnology 1 (2): 40-44, 2010 ISSN Science Publications

American Journal of Nanotechnology 1 (2): 40-44, 2010 ISSN Science Publications American Journal of Nanotechnology 1 (2): 40-44, 2010 ISSN 1949-0216 2010 Science Publications Fabrication of X-Ray Optics for a Portable Total Reflection X-Ray Fluorescence Spectrometer Using Electrolytic

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Effect of process conditions on the abrasion resistance of broadband AR films prepared by electron-beam evaporation

Effect of process conditions on the abrasion resistance of broadband AR films prepared by electron-beam evaporation 78 CHINESE OPTICS LETTERS / Vol. 8, Supplement / April 30, 2010 Effect of process conditions on the abrasion resistance of broadband AR films prepared by electron-beam evaporation Hua Shen ( Ù) 1, Rihong

More information

NCAVS CMPUG Meeting July 16, 2009

NCAVS CMPUG Meeting July 16, 2009 CMP OPTIMIZATION AND CONTROL THROUGH REAL-TIME ANALYSIS OF PROCESS EFFLUENTS S.J. Benner and D.W Peters Allentown, PA 18106 610-395-7840 dwpeters@confluense.com www.confluense.com Outline Introduction

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Fabrication and application of high quality diamond coated. CMP pad conditioners

Fabrication and application of high quality diamond coated. CMP pad conditioners Fabrication and application of high quality diamond coated CMP pad conditioners Hua Wang 1,a, Fanghong Sun 1,b* 1 School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai, 200240, China

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

Analysis of Large Pad Surface Contact Area in Copper CMP

Analysis of Large Pad Surface Contact Area in Copper CMP Analysis of Large Pad Surface Contact Area in Copper CMP X. Liao 1, Y. Zhuang 1,2, L. Borucki 2, Y. Sampurno 1,2 and A. Philipossian 1,2 1 University of Arizona, Tucson AZ USA 2 Araca Incorporated, Tucson

More information

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Yuzhuo Li Center for Advanced Materials Processing Department of Chemistry Clarkson University Potsdam, NY 13699

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.319 ISSN(Online) 2233-4866 The Effect of Inhibitors on the Electrochemical

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

The Influence of Abrasive Size on High-Pressure Chemical Mechanical Polishing of Sapphire Wafer

The Influence of Abrasive Size on High-Pressure Chemical Mechanical Polishing of Sapphire Wafer INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING-GREEN TECHNOLOGY Vol. 2, No. 2, pp. 157-162 APRIL 2015 / 157 DOI: 10.1007/s40684-015-0020-0 ISSN 2288-6206 (Print) / ISSN 2198-0810 (Online)

More information

PROCEEDINGS OF SPIE. Thin-film polarizer for high power laser system in China

PROCEEDINGS OF SPIE. Thin-film polarizer for high power laser system in China PROCEEDINGS OF SPIE SPIEDigitalLibrary.org/conference-proceedings-of-spie Thin-film polarizer for high power laser system in China Jianda Shao, Kui Yi, Meiping Zhu Jianda Shao, Kui Yi, Meiping Zhu, "Thin-film

More information

Advanced pad conditioner design for Oxide/Metal CMP

Advanced pad conditioner design for Oxide/Metal CMP Advanced pad conditioner design for Oxide/Metal CMP Taewook Hwang*, Gary Baldoni, Anand Tanikella, Thomas Puthanangady Saint-Gobain High Performance Materials 9 Goddard Road, Northboro, MA 153, USA E-mail

More information

Physics and Material Science of Semiconductor Nanostructures

Physics and Material Science of Semiconductor Nanostructures Physics and Material Science of Semiconductor Nanostructures PHYS 570P Prof. Oana Malis Email: omalis@purdue.edu Today Bulk semiconductor growth Single crystal techniques Nanostructure fabrication Epitaxial

More information

Surface Modification of AISI 1020 Steel with TiC Coating by TIG Cladding Process

Surface Modification of AISI 1020 Steel with TiC Coating by TIG Cladding Process Surface Modification of AISI 1020 Steel with TiC Coating by TIG Cladding Process Supriya Shashikant Patil 1 Dr. Sachin K Patil 2 1 PG Student, Production Engineering Department, ajarambapu Institute of

More information

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING-GREEN TECHNOLOGY Vol. 2, No. 1, pp. 59-63 JANUARY 2015 / 59 10.1007/s40684-015-0008-9 Effect of Surfactant on Package Substrate in Chemical

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Eric Louis 1, Igor Makhotkin 1, Erwin Zoethout 1, Stephan Müllender 2 and Fred Bijkerk 1,3 1 FOM Institute for Plasma Physics

More information

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb* International Forum on Energy, Environment and Sustainable Development (IFEESD 2016) Effect of annealing temperature on the electrical properties of HfAlO thin films Chun Lia, Zhiwei Heb* Department of

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

Atomic Layer Deposition

Atomic Layer Deposition Atomic Layer Deposition Ville Malinen R&D Engineer Nanopinnoitteita koneenrakentajille 2010 1 Introduction 1) Overview of Beneq 2) Atomic Layer Deposition (ALD), to deposit thin films, which Are dense

More information

NANOSTRUCTURED TiN THIN FILMS SUITABLE FOR MEDICAL APPLICATIONS

NANOSTRUCTURED TiN THIN FILMS SUITABLE FOR MEDICAL APPLICATIONS MATERIALS FORUM VOLUME 32-28 Edited by J.M. Cairney, S.P. Ringer and R. Wuhrer! Institute of Materials Engineering Australasia Ltd NANOSTRUCTURED TiN THIN FILMS SUITABLE FOR MEDICAL APPLICATIONS M. H.

More information

Automated, reliable lapping and polishing systems make light work of hard silicon carbide and sapphire wafers

Automated, reliable lapping and polishing systems make light work of hard silicon carbide and sapphire wafers Automated, reliable lapping and polishing systems make light work of hard silicon carbide and sapphire wafers Author: Mark Kennedy www.logitech.uk.com Overview The lapping and polishing of wafers made

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Preparation and characterization of Co BaTiO 3 nano-composite films by the pulsed laser deposition

Preparation and characterization of Co BaTiO 3 nano-composite films by the pulsed laser deposition Journal of Crystal Growth 289 (26) 48 413 www.elsevier.com/locate/jcrysgro Preparation and characterization of Co BaTiO 3 nano-composite films by the pulsed laser deposition Wu Weidong a,b,, He Yingjie

More information

Extreme pressure property of Carbon Nano Tubes (CNT) based nanolubricant

Extreme pressure property of Carbon Nano Tubes (CNT) based nanolubricant Vol. 4(8), pp. 123-127, December 2013 DOI: 10.5897/JCEMS2013.0163 ISSN 2141-6605 2013 Academic Journals http://www.academicjournals.org/jcems Journal of Chemical Engineering and Materials Science Full

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Wear 270 (2011) Contents lists available at ScienceDirect. Wear. journal homepage:

Wear 270 (2011) Contents lists available at ScienceDirect. Wear. journal homepage: Wear 270 (2011) 312 316 Contents lists available at ScienceDirect Wear journal homepage: www.elsevier.com/locate/wear Chemical effect on the material removal rate in the CMP of silicon wafers Y.G. Wang,

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial?

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial? It s very small world Electronics and Microelectronics AE4B34EM 9. lecture IC processing technology Wafer fabrication Lithography How to get 1 000 000 000 Components to 1 cm 2 Human hair on the surface

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Welcome MNT Conference 1 Albuquerque, NM - May 2010 Welcome MNT Conference 1 Albuquerque, NM - May 2010 Introduction to Design Outline What is MEMs Design General Considerations Application Packaging Process Flow What s available Sandia SUMMiT Overview

More information

The Effect of Annealing Heat Treatment on Structural and Optical Properties of Ce-doped ZnO Thin Films

The Effect of Annealing Heat Treatment on Structural and Optical Properties of Ce-doped ZnO Thin Films 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) The Effect of Annealing Heat Treatment on Structural and Optical Properties of Ce-doped Thin Films

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements Jung-Hoon Chun Laboratory for Manufacturing and Productivity Massachusetts Institute of Technology Cambridge, MA 02139 April 23, 2009

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING Laurent Vésier, Senior Quality Engineer, Rohm and Haas Electronic Materials CMP Technologies, Newark, DE Jason Lawhorn, Product Engineering

More information

The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper

The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper H36 0013-4651/2006/154 1 /H36/5/$20.00 The Electrochemical Society The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper Yi-Koan Hong, Ja-Hyung

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

THE INCREASE IN THICKNESS UNIFORMITY OF FILMS OBTAINED BY MAGNETRON SPUTTERING WITH ROTATING SUBSTRATE

THE INCREASE IN THICKNESS UNIFORMITY OF FILMS OBTAINED BY MAGNETRON SPUTTERING WITH ROTATING SUBSTRATE Plasma Physics and Technology 3(3):1 14, 216 Department of Physics, FEE CTU in Prague, 216 THE INCREASE IN THICKNESS UNIFORMITY OF FILMS OBTAINED BY MAGNETRON SPUTTERING WITH ROTATING SUBSTRATE Golosov

More information

Effects of Slurry Particles on Silicon Dioxide CMP

Effects of Slurry Particles on Silicon Dioxide CMP G512 0013-4651/2004/151 8 /G512/11/$7.00 The Electrochemical Society, Inc. Effects of Slurry Particles on Silicon Dioxide CMP Wonseop Choi, Jeremiah Abiade, Seung-Mahn Lee,* and Rajiv K. Singh**,z Department

More information

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures PAPER D Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures In: Proceedings of IEEE/SEMI Advanced Semiconductors Manufacturing Conference

More information

Fabrication of sub-100nm thick Nanoporous silica thin films

Fabrication of sub-100nm thick Nanoporous silica thin films Fabrication of sub-100nm thick Nanoporous silica thin films Abstract M. Ojha, W. Cho, J. L. Plawsky, W. N. Gill Department of chemical and biological engineering, Rensselaer Polytechnic Institute Low refractive

More information

Page 1 of 5

Page 1 of 5 Page 1 of 5 http://www.olympus-ims.com/en/conducting-steel-plate-surface-texture-topography-analysis-with-laser-scanning-digital-microscope/ Multimedia Application Notes Conducting Steel Plate Surface

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

Characteristics of machined surface controlled by cutting tools and conditions in machining of brittle material

Characteristics of machined surface controlled by cutting tools and conditions in machining of brittle material Characteristics of machined surface controlled by cutting tools and conditions in machining of brittle material Yong-Woo KIM 1, Soo-Chang CHOI 1, Jeung-Woo PARK 2, Deug-Woo LEE 3 1. Department of Nano

More information

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc. New Applications of CMP for Non-Traditional Semiconductor Manufacturing Robert L. Rhoades, Ph.D. Entrepix, Inc. Outline Introduction New Applications of CMP MEMS Non-CMOS Devices New Materials Epitaxial

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS In many works on the material removal in the Chemical Mechanical Process (CMP), the effects of several process parameters have

More information

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER APPLICATION NOTE DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER Authors: Rakesh K. Singh, Andrew Galpin, Christopher Vroman Abstract Characteristics of a revolutionary new design

More information

Evaluation of the Surface of Nitinol after MR Polishing Process

Evaluation of the Surface of Nitinol after MR Polishing Process Journal of Applied Mathematics and Physics, 2015, 3, 208-217 Published Online February 2015 in SciRes. http://www.scirp.org/journal/jamp http://dx.doi.org/10.4236/jamp.2015.32031 Evaluation of the Surface

More information

Influences of the current density on the performances of the chromeplated layer in deterministic electroplating repair

Influences of the current density on the performances of the chromeplated layer in deterministic electroplating repair IOP Conference Series: Materials Science and Engineering PAPER OPEN ACCESS Influences of the current density on the performances of the chromeplated layer in deterministic electroplating repair To cite

More information

A REVIEW ON THE USE OF MR FLUID ASSISTED FINISHING PROCESSES

A REVIEW ON THE USE OF MR FLUID ASSISTED FINISHING PROCESSES A REVIEW ON THE USE OF MR FLUID ASSISTED FINISHING PROCESSES Vikas Kumar 1, Rajesh Kumar 2, Harmesh Kumar 3 1 PhD Scholar, Department of Mechanical Engineering, UIET (P.U), Chandigarh (India) 2 Assistant

More information

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors JOURNAL OF MATERIALS SCIENCE LETTERS 18 (1999)1427 1431 Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors C. L. CHA, E. F. CHOR Center For Optoelectronics,

More information

Preparation and Investigation of Cu-Co- Al2O3 Nanocrystalline Metal Matrix Composites

Preparation and Investigation of Cu-Co- Al2O3 Nanocrystalline Metal Matrix Composites Preparation and Investigation of Cu-Co- Al2O3 Nanocrystalline Metal Matrix Composites R.Venkatesh Research Scholar Sathyabama University, Chennai TamilNadu, India S.Kumaraguru U.G Student Department of

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery

Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery Carlos M. Bledt * a, Daniel V. Kopp a, and James A. Harrington a

More information

The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries

The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries Michael L. White, Richard. Romine, Lamon Jones and William Ackerman Cabot Microelectronics

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

PROCESS PARAMETERS IN GRINDING OF Si 3 N 4 CERAMICS WITH VIRTRIFIED BOND DIAMOND GRINDING WHEEL

PROCESS PARAMETERS IN GRINDING OF Si 3 N 4 CERAMICS WITH VIRTRIFIED BOND DIAMOND GRINDING WHEEL Digest Journal of Nanomaterials and Biostructures Vol.13, No.4, October-December 2018, p.1205-1211 PROCESS PARAMETERS IN GRINDING OF Si 3 N 4 CERAMICS WITH VIRTRIFIED BOND DIAMOND GRINDING WHEEL Z. H.

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Amorphous Er 2 O 3 films for antireflection coatings

Amorphous Er 2 O 3 films for antireflection coatings Amorphous Er 2 O 3 films for antireflection coatings Zhu Yan-Yan( 朱燕艳 ) a), Fang Ze-Bo( 方泽波 ) b), and Liu Yong-Sheng( 刘永生 ) a) a) Shanghai University of Electric Power, Shanghai 200090, China b) Department

More information

MECHANICAL PROPERTIES OF SURFACTANT-COATING CARBON NANOFIBER/EPOXY COMPOSITE

MECHANICAL PROPERTIES OF SURFACTANT-COATING CARBON NANOFIBER/EPOXY COMPOSITE International Journal of Nanoscience, Vol. 1, Nos. 5 & 6 (2002) 1 6 c World Scientific Publishing Company MECHANICAL PROPERTIES OF SURFACTANT-COATING CARBON NANOFIBER/EPOXY COMPOSITE ZHE YING,, JIN-HONG

More information

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching Junbo Feng School of Optoelectronics Science and Engineering, Wuhan National Laboratory for Optoelectronics,

More information

Alternatives to Aluminium Metallization

Alternatives to Aluminium Metallization Alternatives to Aluminium Metallization Technological pressures on the speed and reliability of integrated circuits has caused a need for changes to be made in the choices of materials used for metallization

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

CMP Applications and Issues Part 3

CMP Applications and Issues Part 3 CMP Applications and Issues Part 3 By Christopher Henderson This is the third and final part in a series on Chemical Mechanical Polishing (CMP) applications and issues. An important aspect of CMP is end

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

Research On Silicon Carbide Ceramic By Ultrasonic Grinding Processing

Research On Silicon Carbide Ceramic By Ultrasonic Grinding Processing Research On Silicon Carbide Ceramic By Ultrasonic Grinding Processing Qidong Geng a, Chunyan Li b and Jun Wang c School of mechanical engineering, Yancheng Institute of Technology, Yancheng 224051, China

More information

High Performance Optical Coatings Deposited Using Closed Field Magnetron Sputtering

High Performance Optical Coatings Deposited Using Closed Field Magnetron Sputtering High Performance Optical Coatings Deposited Using Closed Field Magnetron Sputtering D.R. Gibson, I.T. Brinkley, and J.L. Martin Applied Multilayers LLC, 1801 SE Commerce Avenue, Battle Ground, WA 98604

More information

Influence of Oxygen Flow Rate on the Variation of Surface Roughness of Fused Silica during Plasma Polishing Process

Influence of Oxygen Flow Rate on the Variation of Surface Roughness of Fused Silica during Plasma Polishing Process Available online at www.sciencedirect.com Physics Procedia 18 (2011) 107 111 The Fourth International Conference on Surface and Interface Science and Engineering Influence of Oxygen Flow Rate on the Variation

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 1, pp. 100~106 (2010) J O U R N A L O F Ceramic Processing Research Factors affecting surface roughness of Al 2 O 3 films deposited on Cu substrates

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Effects of Dimethyl Formamide on Laser-induced Damage to SiO 2 Films Prepared by the Sol-gel Method Pei-Tao GUO a,*, Yu-Hong MAN b, Yong-Ping ZHANG c

Effects of Dimethyl Formamide on Laser-induced Damage to SiO 2 Films Prepared by the Sol-gel Method Pei-Tao GUO a,*, Yu-Hong MAN b, Yong-Ping ZHANG c International Conference on Material Science and Application (ICMSA 2015) Effects of Dimethyl Formamide on Laser-induced Damage to SiO 2 Films Prepared by the Sol-gel Method Pei-Tao GUO a,*, Yu-Hong MAN

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization Jesse Cornely 1, Chris Rogers 1, Vincent P. Manno 1 and Ara Philipossian 2 1 Tufts University, Department of Mechanical Engineering

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Multilayer Silver / Dielectric Thin-Film Coated Hollow Waveguides for Sensor and Laser Power Delivery Applications

Multilayer Silver / Dielectric Thin-Film Coated Hollow Waveguides for Sensor and Laser Power Delivery Applications Multilayer Silver / Dielectric Thin-Film Coated Hollow Waveguides for Sensor and Laser Power Delivery Applications Theory, Design, and Fabrication Carlos M. Bledt a, James A. Harrington a, and Jason M.

More information

Lateral epitaxial growth of two-dimensional layered semiconductor heterojunctions

Lateral epitaxial growth of two-dimensional layered semiconductor heterojunctions Lateral epitaxial growth of two-dimensional layered semiconductor heterojunctions Xidong Duan, Chen Wang, Jonathan Shaw, Rui Cheng, Yu Chen, Honglai Li, Xueping Wu, Ying Tang, Qinling Zhang, Anlian Pan,

More information

Experimental Investigations on Material Removal Rate and Surface Roughness in Lapping of Substrate Wafers: A Literature Review

Experimental Investigations on Material Removal Rate and Surface Roughness in Lapping of Substrate Wafers: A Literature Review Key Engineering Materials Vol. 404 (2009) pp 23-31 online at http://www.scientific.net (2009) Trans Tech Publications, Switzerland Online available since 2009/Jan/07 Experimental Investigations on Material

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

THE INFLUENCE OF NITROGEN CONTENT ON THE MECHANICAL PROPERTIES OF TiN x THIN FILMS PREPARED BY REACTIVE MAGNETRON SPUTTERING

THE INFLUENCE OF NITROGEN CONTENT ON THE MECHANICAL PROPERTIES OF TiN x THIN FILMS PREPARED BY REACTIVE MAGNETRON SPUTTERING Bulletin of the Transilvania University of Braşov Series I: Engineering Sciences Vol. 5 (54) No. 2-2012 THE INFLUENCE OF NITROGEN CONTENT ON THE MECHANICAL PROPERTIES OF TiN x THIN FILMS PREPARED BY REACTIVE

More information

Kinetics of low temperature plasma carburizing of austenitic stainless steels

Kinetics of low temperature plasma carburizing of austenitic stainless steels Journal of Materials Processing Technology 168 (2005) 189 194 Kinetics of low temperature plasma carburizing of austenitic stainless steels Y. Sun School of Materials Engineering, Nanyang Technological

More information

Improvement of the Tribological Properties of DLC/oxynitriding Duplex-treated AISI H13 Alloy Steel

Improvement of the Tribological Properties of DLC/oxynitriding Duplex-treated AISI H13 Alloy Steel , pp. 193 198 Improvement of the Tribological Properties of DLC/oxynitriding Duplex-treated AISI H13 Alloy Steel Shih-Hsien CHANG, 1) * Chun I LEE 1) and Kuo-Tsung HUANG 2) 1) Department of Materials and

More information

acta physica slovaca vol. 55 No. 4, August 2005 THERMIONIV VACUUM ARC NEW TECHNIQUE FOR HIGH PURITY CARBON THIN FILM DEPOSITION

acta physica slovaca vol. 55 No. 4, August 2005 THERMIONIV VACUUM ARC NEW TECHNIQUE FOR HIGH PURITY CARBON THIN FILM DEPOSITION acta physica slovaca vol. 55 No. 4, 417 421 August 2005 THERMIONIV VACUUM ARC NEW TECHNIQUE FOR HIGH PURITY CARBON THIN FILM DEPOSITION G. Musa 1,a, I. Mustata a, M. Blideran a, V. Ciupina b, R. Vladoiu

More information

A Novel Extrusion Microns Embossing Method of Polymer Film

A Novel Extrusion Microns Embossing Method of Polymer Film Modern Mechanical Engineering, 2012, 2, 35-40 http://dx.doi.org/10.4236/mme.2012.22005 Published Online May 2012 (http://www.scirp.org/journal/mme) A Novel Extrusion Microns Embossing Method of Polymer

More information