The effect of hydrogen peroxide on polishing removal rate in CMP with various

Size: px
Start display at page:

Download "The effect of hydrogen peroxide on polishing removal rate in CMP with various"

Transcription

1 The effect of hydrogen peroxide on polishing removal rate in CMP with various abrasives R. Manivannan a, S. Ramanathan a,* a Particle Science and Polymer Laboratory Department of Chemical Engineering, Indian Institute of Technology Madras, Chennai , India. * Corresponding Author. Tel: , Fax: srinivar@iitm.ac.in. 1

2 Abstract The effect of hydrogen peroxide in chemical mechanical planarization slurries for shallow trench isolation was investigated. The various abrasives used in this study were ceria, silica, alumina, zirconia, titania, silicon carbide, and silicon nitride. Hydrogen peroxide suppresses the polishing of silicon dioxide and silicon nitride surfaces by ceria abrasives. The polishing performances of other abrasives were either unaffected or enhanced slightly with the addition of hydrogen peroxide. The ceria abrasives were treated with hydrogen peroxide, and the polishing of the work surfaces with the treated abrasive shows that the inhibiting action of hydrogen peroxide is reversible. It was found that the effect of hydrogen peroxide as an additive is a strong function of the nature of the abrasive particle. PACS: Ps; Ls Keywords: Chemical mechanical planarization; shallow trench isolation; selectivity; abrasives; additives 2

3 1. Introduction Chemical Mechanical Planarization (CMP) is a key process in microelectronic chip fabrication [1,2]. CMP is the process of smoothing and planarizing a surface by the chemical and mechanical forces. Shallow trench isolation (STI) is the current method of electrically isolating transistors [3]. Silicon dioxide is used as an insulator between devices to prevent the short circuiting of the transistors. CMP is an important step in the STI integration scheme. STI shows a high degree of planarity and a dramatic reduction in the chip area required for isolation [1]. STI allows scaling down device dimensions and denser packing [2]. In the process flow, silicno dioxide is deposited over the trenches and the excess silicon dioxide has to be removed by CMP. Once the silicon dioxide is brought to the desired level, the polishing is arrested using a silicon nitride film as a stopping layer. This requires that the polishing process removes silicon dioxide but not silicon nitride (selective removal). Ceria and silica are the abrasives of choice for CMP slurries used for STI polishing [4]. Ceria abrasives polish silicon dioxide and silicon nitride films very well, even though ceria is softer than both silicon dioxide and silicon nitride [5,6]. The mechanism of silicon dioxide polishing by ceria abrasives is believed to involve chemical interactions between the abrasive and the work surface [5]. Various hypotheses have been proposed to explain the unusually high silicon dioxide polish rate with ceria slurries [5,7-10]. Most of them propose chemical interaction between the ceria abrasive and the silicon dioxide surface[5,7-9], while in situ frictional force measurement seem to indicate that the effect may be mainly mechanical [10]. Various additives have been used in ceria based slurries to suppress the silicon nitride polish rate and maintain a high or moderate silicon dioxide polish rate, thus enhancing the selectivity [11-13]. The mechanism of 3

4 action of these high selective slurries is not understood well. Adsorption of the additive onto the silicon nitride surface was proposed as a possible mechanism [11, 14-16], but it was determined that adsorption is not the key mechanism of selectivity enhancement for at least certain amino acids used as additives [17]. Hydrogen peroxide is commonly used as oxidizer in copper CMP slurries [18-21]. However, it is rarely used in silicon dioxide polishing [22]. While it is unlikely to modify the silicon dioxide surface significantly, it may enhance the silicon nitride hydrolysis which is the first step in silicon nitride polishing. It may also modify the surface states of the ceria abrasives and thus interfere with the chemical interaction between the abrasive and the work surfaces. In this study, the effect of hydrogen peroxide as an additive in STI CMP slurries is reported. In order to understand the effect of ph, the polishing was conducted at various ph values of slurries containing ceria and silica abrasives. The effect of hydrogen peroxide on the STI CMP using slurries containing other abrasives was also characterized. Ceria based slurries showed interesting behavior with the addition of hydrogen peroxide. In order to determine if the effect was permanent, ceria abrasives were treated with hydrogen peroxide, and a set of CMP experiments were also conducted using the treated ceria abrasives. 2. Experimental Commercial grade ceria particles (DCP-73A, Sodiff Inc., Korea), fumed silica particles (Cab-osil M5, Cabot Sanmar, India), and titania (DCW, India) were used to prepare slurries. Analytical grade ceria, silicon nitride, silicon carbide, alumina and zirconia (all from Sigma-Aldrich, USA) were also used to prepare slurries for characterizing the effect of abrasives. Ceria slurries 4

5 contained 0.25 wt % solids and silica slurries contained 5 wt % solids. All other slurries contained 1 wt % solids. All the experiments were carried out at room temperature. The ph of the slurry was adjusted by using KOH or HNO 3 solution. MilliQ water (Millipore) was used for preparing the slurries. The abrasives were continuously kept in suspension by using a magnetic stirrer. The slurries were fed to the CMP equipment at a constant flow rate of 60 ml/min using a peristaltic pump. The wafers were polished in bench-top Struers (Labopol - 5 & Laboforce 3) CMP equipment. LPCVD silicon nitride and thermal silicon dioxide coated wafers (Semi Wafer Inc, Taiwan) were used for the polishing experiments. The wafers were cut into square pieces of 1 size and fixed to the polishing equipment. A force of 20 N was applied to the wafer, and a rotational speed of 100 rpm and 250 rpm were maintained for the turntable and the wafer holder respectively. Stacked polyurethane pads (SUBA IV, Rodel Inc) were used for all the experiments. Initially, the pad was soaked in distilled water for 24 hours and conditioned with dummy polish runs. After each polishing run, the pad was conditioned with a silicon carbide grit paper and cleaned with a nylon brush to ensure consistent pad surface condition. After polishing, the wafers were rinsed and cleaned with MilliQ water in an ultrasonication bath to remove any abrasive particle. Subsequently, the wafers were dried with compressed air. The pre and postpolish thicknesses of the silicon dioxide and silicon nitride films were measured using a Filmetrics F20-UV thin film analyzer to determine the polish rate. The thickness was measured at 5 locations and the average was taken as the representative thickness. The duration of each experimental run was 1 min. The experiments were repeated at least 3 times, and the average values of the polish rates along with the standard deviations are reported. Polishing experiments using ceria and silica abrasives were carried out in the ph range of For all other abrasives, the polishing experiments were conducted at a slurry ph value of 9. 5

6 3. Results and Discussion 3.1 Effect of hydrogen peroxide in silica based slurries Fig. 1 shows the silicon dioxide polish rate as a function of ph for the silica based slurry with and without hydrogen peroxide. When hydrogen peroxide is not present, in the ph range of 7 to 9, the silicon dioxide polish rate increases with ph. However, there is no significant change in the polish rate when the ph was increased from 9 to 10. The solubility of silica is known to increase with the ph, particularly after a ph value of 10 [23]. When silicon dioxide is exposed to water, the top layer is modified, and the Si-(OH) bonds are formed on the surface. This layer would be softer than the silicon dioxide, which facilitates its removal. It was reported that water was found to be essential to for polishing silica [5]. The silicon dioxide polish rate decreased when the water in the slurry was replaced by alcohols, and the polish rate dropped drastically when organic solvents, which did not contain hydroxyl functional group, were used in the slurry [5]. It is seen from Fig.1 that when hydrogen peroxide is added to the slurry, the polish rate does not change except at the ph value of 10. At the ph value of 10, when hydrogen peroxide was added to the slurry, the polish rate increased from 22 nm/min to 36 nm/min. Hydrogen peroxide can act as a proton donor as well as a proton acceptor [24]. As proton acceptors, hydrogen peroxide molecules are known to form stronger bonds with silicon dioxide than do water molecules as proton donors [24]. The addition of hydrogen peroxide would provide some OH radicals which may alter the surface of the hydrated silica. Since the surface nature of the silicon dioxide itself changes with ph, this may lead to an enhanced silicon dioxide polish rate at the ph value of 10 with the addition of hydrogen peroxide. It is to be noted that the silica abrasive particles would also be modified by the hydrogen peroxide present in the slurry. 6

7 Fig. 2 shows the silicon nitride polish rate as a function of ph for the silica based slurry with and without hydrogen peroxide. The silicon nitride polish rate is more or less the same in the ph range investigated, and it varies only between 8 nm/min and 14 nm/min. The addition of hydrogen peroxide to the silica based slurry does not affect the silicon nitride polish rate to a significant extent. The silicon nitride polish is believed to occur by a two step mechanism [6,25]. In the first step, the silicon nitride is hydrolyzed to silicon dioxide and ammonia, and in the second step, the silicon dioxide is removed by the polishing action. A comparison of Fig.1 and Fig.2 shows that at the ph value of 8 and above, the silicon nitride polish rate is less than the silicon dioxide polish rate. This indicates that the first step of hydrolysis is the rate limiting step in this ph range. However, at the ph value of 7, the nitride polish rate is higher than the oxide polish rate. This is contrary to the prediction of the two step mechanism. Accordign to the two step mechanism, the silicon dioxide remoal rate will be the upper limit of the silicon nitride removal rate. However, direct interaction between the abrasives and the silicon nitride surface has also been proposed [6]. In that case, the abrasive would remove the top layer containing silicon dioxide, as well the inner layer of silicon nitride. This will result in a higher polish rate for silicon nitride film compared to silicon dioxide film. Thus the results indicate that at the ph value of 7, direct removal of silicon nitride film also contributes to the overall polish rate. 3.2 Effect of hydrogen peroxide in ceria based slurries Fig. 3 shows the silicon dioxide polish rate as a function of ph for ceria based slurries with and without hydrogen peroxide. A comparison of Fig. 1 and Fig.3 shows that when hydrogen peroxide was not present in the slurries, the polish rate of silicon dioxide surface in ceria slurries 7

8 is significantly higher than that obtained with silica based slurries. It is worth noting that the ceria slurries contained only 0.25 wt % solids while the silica slurries contained 5 wt % solids. This is similar to the reported behavior of STI CMP polishing [4]. Thus, mechanical action alone is insufficient to explain the polish rates exhibited by ceria slurries. Ceria based slurries also show higher polish rate at a ph value of 7 rather than at the ph value of 10. The point of zero charge (pzc) of ceria is about 7 and the polish rate is expected to be high near the abrasive pzc [26]. Above a ph value of 7, the polish rate does show a moderate increase with ph. Thus the interaction between ceria abrasives and silicon dioxide surface is more significant than the solubility change with ph, confirming that the abrasive-work surface interactions are the most significant forces in ceria polishing of silicon dioxide. Fig. 3 also shows that hydrogen peroxide suppresses the silicon dioxide polish rate to a great extent. The polish rate does not vary significantly with ph, which indicates that the effect is not a strong function of ph. However, since hydrogen peroxide does not inhibit the silicon dioxide polishing by silica based slurries (Fig. 1), it is clear that the inhibition is not due to any modification of the silica surface. Hence hydrogen peroxide must have changed the ceria abrasive surface in such a way that the interaction between ceria and silicon dioxide would be inhibited. Fig. 4 shows the silicon nitride polish rate as a function of ph for the ceria based slurry with and without hydrogen peroxide. The nitride polish rates varied between 25 to 20 nm/min in the ph range investigated, when hydrogen peroxide was not present in the slurry. The addition of hydrogen peroxide to the ceria based slurry reduces the nitride polish rate significantly. In particular, at the ph value of 10, the silicon nitride polish rate is almost completely suppressed. A comparison of Fig. 2 and Fig. 4 indicates that hydrogen peroxide suppresses the nitride polish 8

9 rate only when ceria is the abrasive and not when silica is the abrasive in the slurry. This also leads to the conclusion that the inhibition of silicon nitride polish rate by the addition of hydrogen peroxide is due to the modification of the ceria abrasive surface by hydrogen peroxide. 3.3 Effect of hydrogen peroxide concentration in ceria based slurries In order to determine the extent of polish rate suppression, the concentration of hydrogen peroxide in the slurry was changed. Fig. 5 shows the effect of hydrogen peroxide concentration on the polish rate of silicon dioxide and silicon nitride for ceria based slurry at a ph value of 9. With the addition of 0.5 vol% of hydrogen peroxide, the silicon dioxide polish rate reduced to about 13 nm/min. Further increase in the hydrogen peroxide concentration did not result in further reduction of polish rate. Thus a small amount of hydrogen peroxide is sufficient to modify the ceria surface and suppress the silicon dioxide polish rate. The silicon nitride polish rate decreased gradually with the increase in hydrogen peroxide concentration, and it reached a low value of 2 nm/min, when the concentration of hydrogen peroxide was 1.5 vol %. When hydrogen peroxide is added to the ceria based slurry, it may modify the nitride surface as well as the ceria surface. The conversion of silicon nitride surface to silicon dioxide may be enhanced by the presence of hydrogen peroxide, while the modification of ceria surface by hydrogen peroxide would also occur simultaneously. The combined effect would determine the trend of silicon nitride polish rate vs hydrogen peroxide concentration. In Fig.5, at any given hydrogen peroxide concentration the silicon nitride polish rate was always lower than the corresponding silicon dioxide polish rate. This supports the hypothesis that the hydrolysis of nitride surface and subsequent removal of surface oxide film is the main mechanism of nitride polishing at the ph value of 9. 9

10 3.4 Effect of hydrogen peroxide in slurries with other abrasives Silicon dioxide and silicon nitride films were polished using slurries containing other abrasives viz., ceria (Sigma-Aldrich), titania, zirconia, alumina, silicon carbide, and silicon nitride, with and without hydrogen peroxide. The ph value was maintained at 9, and the results are summarized in table 1. It was found that the hydrogen peroxide suppresses the silicon dioxide and silicon nitride polishing by ceria abrasives, regardless of the source of ceria. Thus it is clear that the suppression of polish rates with the addition of hydrogen peroxide is not due to the possible presence of impurities in the commercial grade ceria (Sodiff Inc.). Zirconia showed a moderate polish rate without hydrogen peroxide, and the addition of hydrogen peroxide increased the silicon dioxide as well as silicon nitride polish rates. The titania based slurries did not show any significant change in the polish rates, with the addition of peroxide. The polish rate with alumina and silicon carbide based slurries were very low to begin with, and remained low with the addition of hydrogen peroxide. Silicon nitride based slurries exhibited moderate polish rate without hydrogen peroxide and an increased polished rate with the addition of hydrogen peroxide. It is likely that the silicon nitride particle surfaces were hydrolyzed well in the presence of hydrogen peroxide and the resulting silicon dioxide coating led to the higher polish rate. Thus the suppression of oxide and nitride polish rate with the addition of hydrogen peroxide is specific to ceria based slurries. This also supports the hypothesis that there is a significant chemical interaction between ceria and silicon dioxide (or silicon nitride) surface during CMP. 3.5 Proposed mechanism of silica polish by ceria abrasives 10

11 The surface of ceria particles are known to contain significantly more Ce 3+ ions than the bulk [26]. Electron energy loss spectroscopy (EELS) also showed that the impurities such as lanthanum were found to be on the surface rather than in the bulk [27]. Ce 4+ is thermodynamically less stable than Ce 3+ [28]. Thus, cerium in the tetravalent state is an oxidizing agent which can be reduced to the trivalent state [7]. However, since hydrogen peroxide is a strong oxidizing agent, it is likely that it would oxidize the Ce 3+ to Ce 4+ rather than reduce the Ce 4+ to Ce 3+. It has been proposed that the redox reaction due to Ce 3+ /Ce 4+ may provide the assistance to break up the silicon dioxide lattice [7]. It was also proposed that cerium hydroxide (Ce(OH) 4 ) may react with the silica and form silicic acid (Si(OH) 4 ). Cook proposed a series of steps to explain the high removal rate of silica by ceria abrasives [5]. In that mechanism, Si-O - sites would react with Ce-OH sites to form Si-O-Ce bond. During abrasion, the bond between bulk silicon dioxide and the top atomic layer may rupture leading to removal of silica [5]. This mechanism would lead to formation of Si(OH) 4 molecules in the solution. Hoshino et al. proposed that silica is removed as a lump rather than as monomer or oligomers of Si(OH) 4. In this mechanism, the breaking of Si-O-Si bond is controlled by chemical depolymerization as well as mechanical tearing [8]. Thus all the mechanisms proposed to explain the silica polish by ceria propose that a bond is formed between the ceria abrasive and silicon dioxide work-surface in its hydrated form. The inhibiting effect of hydrogen peroxide on the polishing of silicon dioxide and silicon nitride surface by ceria slurries, as seen in the current work, indicates that oxidizable sites such as Ce 3+ ions on the surface of ceria abrasives play a vital role in the CMP. It was reported that reducing the concentration of ceria abrasives from 1 wt % to 0.25 wt % increases the relative 11

12 concentration of Ce 3+ which leads to a better polish rate [29]. Based on the results shown in Fig. 2 and Fig.3 and the data in the published literature, the following hypothesis is proposed. The oxidizable sites on the ceria surface may be considered as active sites. When the active surface sites are available, silicon dioxide bonds with the ceria abrasive, and the monomer or oligomers of Si(OH) 4 are likely to be removed by the movement of the abrasive. It was reported that glass samples polished with ceria abrasives show the best surface [5]. If the silicon dioxide removal mechanism by ceria abrasives involve removal of lumps of SiO 2, as proposed by [8], then the surface is not likely to be smooth. Hence it is unlikely that SiO 2 would be removed as lumps by ceria slurries. When the active surface sites are oxidized by the hydrogen peroxide, the resulting Ce 4+ ions do not interact strongly with the silicon dioxide surface. Thus, in the presence of hydrogen peroxide there is no chemical interaction between the ceria and silicon dioxide. Hence the polishing would be purely mechanical and hence is expected to be low. In order to determine if the changes in surface sites by the addition of hydrogen peroxide are permanent, a few experiments were conducted with ceria abrasives treated with hydrogen peroxide. Ceria (Sodiff Inc.) slurries of 0.25 wt % solids, with and without 1 vol % of hydrogen peroxide, were prepared, and the ph values of the same were adjusted to 9. The slurries were mixed for about 15 min using a magnetic stirrer. Then the slurries were filtered through a vacuum filter setup. The precipitate was dried in an oven at 105 ºC for a period of 12 hours, to remove the moisture content from the particles. The treated particles were re-suspended in water and used for the polishing experiment. The resuspended slurry did not contain any additional hydrogen peroxide. It is possible that some of the finer powders were not filtered effectively during this treatment process. Hence, experiments were also conducted with ceria particles 12

13 treated by the same process mentioned above, but without the addition of hydrogen peroxide. The results are shown in table 1. It is seen that the polish rate is reduced from 91 nm/min to 73 nm/min when the ceria particles were subjected to the filtration and drying treatment. However, a comparison of the polish rates using abrasives which were treated with peroxide and abrasives that were treated only with water shows that the effect of hydrogen peroxide is not permanent. The slurry containing hydrogen peroxide treated ceria yielded a polish rat of 77 nm/min. The slurry containing ceria abrasives treated with water yielded a polish rate of 73 nm/min. If hydrogen peroxide were to permanently modify the surface sites, the treated abrasives would be ineffective in polishing silicon dioxide and silicon nitride surface. However, the silicon dioxide and silicon nitride polish rates were more or less the same for ceria abrasives treated with both water and hydrogen peroxide.. Thus it can be concluded that the modification of the surface sites on ceria by hydrogen peroxide is temporary and that they tend to return to their natural equilibrium when hydrogen peroxide is not present. 4. Conclusions The effect of hydrogen peroxide on STI CMP with slurries containing various abrasives was characterized. Hydrogen peroxide does not alter the polishing behavior of silica based slurries. For ceria based slurries, the addition of hydrogen peroxide suppressed the silicon dioxide and silicon nitride polish rates over a ph range of 7 to 10. Silicon dioxide polish rate suppression effect saturated at 0.5 vol % concentration of hydrogen peroxide, while silicon nitride polish rate suppression was saturated at 1.5 vol % hydrogen peroxide concentration. The change is reversible, since abrasives treated with hydrogen peroxide show good polishing behavior after the peroxide is removed. The polish rates with zirconia and silicon nitride based slurries 13

14 increased with the addition of hydrogen peroxide, while the other abrasives showed low polish rate with and without hydrogen peroxide. 14

15 Acknowledgements The authors would like to thank the Department of Science and Technology (DST), India for financing this project (SR/S3/CE/57/2005-SERC-ENGG), Sodiff Inc, Korea for donating the ceria abrasives and Molycorp. Inc, USA for providing the report on ceria. 15

16 References [1] J. M. Steigerwald, S. P. Murarka, R. J. Gutmann, Chemical Mechanical Planarization of Microelectronic Materials, John Wiley & Sons, New York, (1997) p. 2. [2] P. B. Zantye, A. Kumar, A. K. Sikder, Mater. Sci. Eng. R. 45 (2004) 89. [3] M. R. Oliver, Chemical Mechanical Planarization of Semiconductor Materials, Springer, New York, 2004, p. 1. [4] N. Chandrasekaran, Mater. Res. Soc. Symp. Proc., 816 (2004) K [5] L. M. Cook, J. Non-Cryst. Solids 120 (1990) 152. [6] M. Jiang, N. O. Wood, R. Komanduri, Wear 220 (1998) 59. [7] B.T. Kilbourn, Cerium, A guide to its role in chemical technology, Molycorp. Inc., Mountainpass, CA, USA (1992), p.25. [8] T. Hoshino, Y. Kurata, Y. Terasaki, K. Susa, J. Non-cryst. Solids 283 (2001)129. [9] P. Suphantharida, K. Osseo-Asare, J. Electrochem. Soc. 151 (10) (2004) G658. [10] J. T. Abiade, S. Yeruva, W. Choi, B.M. Moudgil, D. Kumar, Rajiv K. Singh, J. Electrochem. Soc. 153 (11) (2006) G1001. [11] W.G. America, S.V. Babu, Electrochem. Solid-State Lett, 7 (12) (2004) G327. [12] P. W. Carter, T. P. Johns, Electrochem. Solid-State Lett., 8 (8) (2005) G218. [13] R. Srinivasan, S.V. Babu, W.G. America, Y-S. Her, US Patent 6,468,910 (2002). [14] T. Katoh, H-G. Kang, U. Paik, J-G. Park, Jpn. J. Appl. Phys. Part I 3 (42) (2003) [15] S-Y. Kim, S. Lee, U. Paik, T. Katoh, J-G. Park, J. Mater. Res. 18 (9) (2003) [16] J-G. Park, T. Katoh, J-H. Park, U. Paik, K-D. Kwack, Rare metals 21 (2002) 6. [17] Y. Nagendra prasad, S. Ramanathan, Electrochem. Solid-State. Lett., 9 (2006) G

17 [18] M. Hariharaputhiran, J. Zhang, S. Ramarajan, J. J. Keleher, Y. Li, S. V. Babu, J. Electrochem. Soc. 147 (2000) [19] S. Aksu, F.M. Doyle, J. Electrochem. Soc. 149 (2002) B340. [20] T. Du, A. Vijayakumar, V. Desai, Electrochim. Acta 49 (2004) [21] T-H. Tsai, Y-F. Wu, S-C. Yen, Appl. Surf. Sci. 214 (2003) 120. [22] W. R. Morrison, K.P. Hunt, European Patent (1998). [23] R.K. Iler, The Chemistry of Silica, John Wiley and Sons, 1979, New York, p.65. [24] J. Zeglinski, G.P. Piolrowski, R. Piekos, J. Mol. Struct. 794 (2006) 83. [25] Y-Z. Hu, R.J. Gutmann, T.P. Chow, J. Electrochem. Soc. 145 (11) (1998) [26] K. Osseo-Asare, J. Electrochem. Soc. 149 (12) (2002) G651. [27] S. R. Gilliss, J. Bentley, CB. Carter, Appl. Surf. Sci. 241 (2005) 61. [28] M. Pourbaix, Atlas of Electrochemical Equilibria in Aqueous Solutions, NACE, Houston, USA, (1974) p [29] L. Wang, K. Zhang, Z. Song, S. Feng, Appl. Surf. Sci. 253 (2007)

18 Figure Captions Fig. 1. Polish rate of silicon dioxide surface vs ph in 5 wt % silica slurry with and without 1 vol % hydrogen peroxide. Fig. 2. Polish rate of silicon nitride surface vs ph in 5 wt % silica slurry with and without 1 vol % hydrogen peroxide. Fig. 3. Polish rate of silicon dioxide surface vs ph in 0.25 wt % ceria slurry with and without 1 vol % hydrogen peroxide. Fig. 4. Polish rate of silicon nitride surface vs ph in 0.25 wt % ceria slurry with and without 1 vol % hydrogen peroxide. Fig. 5. Polish rate of silicon dioxide and silicon nitride surface vs hydrogen peroxide concentration in 0.25 wt % ceria slurry. Table Caption Table 1. Polishing characteristics of slurries containing various abrasives at ph value of 9. 18

19 Fig. 1 Oxide polish rate (nm/min) With Hydrogen Peroxide Without Hydrogen Peroxide ph 19

20 Fig. 2 Nitride polish rate (nm/min) With Hydrogen Peroxide Without Hydrogen Peroxide ph 20

21 Fig. 3 Oxide polish rate (nm/min) With Hydrogen Peroxide Without Hydrogen Peroxide ph 21

22 Fig. 4 Nitride polish rate (nm/min) With Hydrogen Peroxide Without Hydrogen Peroxide ph 22

23 Fig Silicon dioxide Polish rate (nm/min) Silicon nitride H 2 O 2 conc. (vol %) 0 23

24 Table 1. Without hydrogen peroxide With 1 vol % hydrogen Abrasive Type Abrasive Concentration (wt %) Oxide polish Rate Nitride polish Rate peroxide Oxide polish Rate Nitride polish Rate (nm/min) (nm/min) (nm/min) (nm/min) Ceria Sodiff Ceria Sodiff (treated) Ceria-Sigma Aldrich Alumina Titania Zirconia Silica Silicon Nitride Silicon Carbide

25 Fig. 1 (B&W) Oxide polish rate (nm/min) With Hydrogen Peroxide Without Hydrogen Peroxide ph 25

26 Fig. 2 (B&W) Nitride polish rate (nm/min) With Hydrogen Peroxide Without Hydrogen Peroxide ph 26

27 Fig. 3 (B&W) Oxide polish rate (nm/min) With Hydrogen Peroxide Without Hydrogen Peroxide ph 27

28 Fig. 4 (B&W) Nitride polish rate (nm/min) With Hydrogen Peroxide Without Hydrogen Peroxide ph 28

29 Fig. 5 (B&W) Polish rate (nm/min) Silicon dioxide Silicon nitride H 2 O 2 conc. (vol %) 0 29

Role of amino-acid adsorption on Silica and Silicon Nitride surfaces

Role of amino-acid adsorption on Silica and Silicon Nitride surfaces Role of amino-acid adsorption on Silica and Silicon Nitride surfaces during STI CMP Y. Nagendraprasad, S.Ramanathan * Particle Science and Polymer Laboratory Department of Chemical Engineering, Indian

More information

Wear 270 (2011) Contents lists available at ScienceDirect. Wear. journal homepage:

Wear 270 (2011) Contents lists available at ScienceDirect. Wear. journal homepage: Wear 270 (2011) 312 316 Contents lists available at ScienceDirect Wear journal homepage: www.elsevier.com/locate/wear Chemical effect on the material removal rate in the CMP of silicon wafers Y.G. Wang,

More information

Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid

Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid Origin of high oxide to nitride polishing selectivity of ceria-based slurry in the presence of picolinic acid Wang Liang-Yong( ) a)c), Liu Bo( ) a), Song Zhi-Tang( ) a), Liu Wei-Li( ) a), Feng Song-Lin(

More information

Abrasive and additive interactions in high selectivity STI CMP slurries

Abrasive and additive interactions in high selectivity STI CMP slurries Abrasive and additive interactions in high selectivity STI CMP slurries B.V.S. Praveen a, R. Manivannan b, T.D. Umashankar a, Byoung-Jun Cho c, Jin-Goo Park b,c and S. Ramanathan a,* a Department of Chemical

More information

Electrochemical characterization of copper chemical mechanical. polishing in glutamic acid-hydrogen peroxide based slurries

Electrochemical characterization of copper chemical mechanical. polishing in glutamic acid-hydrogen peroxide based slurries Electrochemical characterization of copper chemical mechanical polishing in glutamic acid-hydrogen peroxide based slurries Authors: S. Noyel Victoria and S. Ramanathan * Affiliation: Dept. Chem. Engg.,

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Effect of lanthanum doping in ceria abrasives on STI CMP selectivity

Effect of lanthanum doping in ceria abrasives on STI CMP selectivity Effect of lanthanum doping in ceria abrasives on STI CMP selectivity B.V.S. Praveen a, Byoung-Jun Cho c, Jin-Goo Park b,c and S. Ramanathan a,* a Department of Chemical Engineering, Indian Institute of

More information

Preparation and Polishing Properties of Spherical Porous Silica Abrasive

Preparation and Polishing Properties of Spherical Porous Silica Abrasive American Journal of Nanotechnology 1 (1): 32-39, 2010 ISSN 1949-0216 2010 Science Publications Preparation and Polishing Properties of Spherical Porous Silica Abrasive Hong Lei, Hu Li, Ping Liu and Ruling

More information

Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer

Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer Chemical Mechanical Planarization of Ruthenium with Oxone as oxidizer S. Noyel Victoria a, Josiah Jebaraj Johnley Muthuraj b, Ian Ivar Suni b,c,* and S. Ramanathan a,*,z a Department of Chemical Engineering,

More information

Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical Mechanical Polishing

Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical Mechanical Polishing Journal of The Electrochemical Society, 153 6 B193-B198 2006 0013-4651/2006/153 6 /B193/6/$20.00 The Electrochemical Society Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Effects of Slurry Particles on Silicon Dioxide CMP

Effects of Slurry Particles on Silicon Dioxide CMP G512 0013-4651/2004/151 8 /G512/11/$7.00 The Electrochemical Society, Inc. Effects of Slurry Particles on Silicon Dioxide CMP Wonseop Choi, Jeremiah Abiade, Seung-Mahn Lee,* and Rajiv K. Singh**,z Department

More information

Glycolic acid in hydrogen peroxide-based slurry for enhancing copper chemical mechanical polishing

Glycolic acid in hydrogen peroxide-based slurry for enhancing copper chemical mechanical polishing Microelectronic Engineering 77 (25) 193 23 www.elsevier.com/locate/mee Glycolic acid in hydrogen peroxide-based slurry for enhancing copper chemical mechanical polishing Tzu-Hsuan Tsai a, *, Yung-Fu Wu

More information

AVS CMP Users Group Meeting

AVS CMP Users Group Meeting AVS CMP Users Group Meeting High Selectivity Ceria Slurry for Next Generation STI CMP Processes Nate D. Urban 4/07/2016 Outline Introduction to Ferro Shallow trench isolation (STI) Silicon nitride passivation

More information

CHEMICAL AND ELECTROCHEMICAL CHARACTERIZATION OF PEROXIDE- INDUCED PASSIVATION OF COPPER IN AQUEOUS GLYCINE SOLUTIONS

CHEMICAL AND ELECTROCHEMICAL CHARACTERIZATION OF PEROXIDE- INDUCED PASSIVATION OF COPPER IN AQUEOUS GLYCINE SOLUTIONS Proceedings VMIC 23 (Twentieth Int. VLSI Multilevel Interconnection Conf), Marina Del Rey, CA, Sept. 23, pp. 267-276. CHEMICAL AND ELECTROCHEMICAL CHARACTERIZATION OF PEROXIDE- INDUCED PASSIVATION OF COPPER

More information

Passivation of Copper During Chemical Mechanical Planarization

Passivation of Copper During Chemical Mechanical Planarization 1 Passivation of Copper During Chemical Mechanical Planarization SFR Workshop & Review November 14, 22 Amnuaysak, Chianpairot and Fiona M. Doyle Berkeley, CA 23 GOAL: to characterize the composition of

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. APPLICATION NOTE CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. Yang, Sherly Lee Abstract Chemical mechanical planarization (CMP) slurries contain

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A.

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. APPLICATION NOTE FILTRATION CHARACTERISTICS OF CMP SLURRIES Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. Abstract Filters for current and next-generation CMP slurries must now exhibit high retention of

More information

Almost Complete Removal of Sub-90 nm Ceria Particles from Silicon Dioxide Surfaces Jihoon Seo, Akshay Gowda, and S.V. Babu

Almost Complete Removal of Sub-90 nm Ceria Particles from Silicon Dioxide Surfaces Jihoon Seo, Akshay Gowda, and S.V. Babu Almost Complete Removal of Sub-90 nm Ceria Particles from Silicon Dioxide Surfaces Jihoon Seo, Akshay Gowda, and S.V. Babu The Center for Advanced Materials Processing (CAMP), Clarkson University 1 1.

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and Nano-Sized Boron Nitride

Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and Nano-Sized Boron Nitride The 2012 World Congress on Advances in Civil, Environmental, and Materials Research (ACEM 12) Seoul, Korea, August 26-30, 2012 Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and

More information

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat 1.1149/1.2727414, The Electrochemical Society A New Liquid Precursor for Pure Ruthenium Depositions J. Gatineau, C. Dussarrat Air Liquide Laboratories, Wadai 28, Tsukuba city, Ibaraki Prefecture, 3-4247,

More information

Fundamentals of Post-CMP Cleaning of Dielectric Surface Contaminated with Ceria (Nano-to-Micro) Particles

Fundamentals of Post-CMP Cleaning of Dielectric Surface Contaminated with Ceria (Nano-to-Micro) Particles 20 TH SUFACE PEPAATION AND CLEANING CONFEENCE (SPCC) 2018 Fundamentals of Post-CMP Cleaning of Dielectric Surface Contaminated with Ceria (Nano-to-Micro) Particles Atanu Das, Daniela White, Wonlae Kim,

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

CMP Solutions for the Integration of High-K Metal Gate Technologies

CMP Solutions for the Integration of High-K Metal Gate Technologies CMP Solutions for the Integration of High-K Metal Gate Technologies J. M.. Dysard, V. Brusic, P. Feeney, S. Grumbine, K. Moeggenborg, G. Whitener, W. J. Ward, G. Burns, and K. Choi Cabot Microelectronics

More information

The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper

The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper H36 0013-4651/2006/154 1 /H36/5/$20.00 The Electrochemical Society The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper Yi-Koan Hong, Ja-Hyung

More information

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP 1 TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP Fiona M. Doyle and Shantanu Tripathi* University of California at Berkeley Department of Materials Science and Engineering 210 Hearst Mining Building

More information

Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology

Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology Applied Surface Science 212 213 (2003) 388 392 Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology Marcus A. Pereira, José A. Diniz, Ioshiaki Doi *, Jacobus W. Swart

More information

CLEANING AND SURFACE TREATMENTS

CLEANING AND SURFACE TREATMENTS CLEANING AND SURFACE TREATMENTS Chemical Cleaning Mechanical Cleaning and Surface Preparation Diffusion and Ion Implantation Overview of Industrial Cleaning Almost all workparts must be cleaned one or

More information

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization Jesse Cornely 1, Chris Rogers 1, Vincent P. Manno 1 and Ara Philipossian 2 1 Tufts University, Department of Mechanical Engineering

More information

Evolution and Revolution of Cerium Oxide Slurries in CMP

Evolution and Revolution of Cerium Oxide Slurries in CMP Evolution and Revolution of Cerium Oxide Slurries in CMP David Merricks, Brian Santora, Bob Her, Craig Zedwick Ferro Electronic Material Systems 1789 Transelco Drive Penn Yan, NY 14527, USA merricksd@ferro.com

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Crystal Growth and Wafer Fabrication. K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT

Crystal Growth and Wafer Fabrication. K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT Crystal Growth and Wafer Fabrication K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT Crystal growth Obtaining sand Raw Polysilicon Czochralski Process

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries

The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries Michael L. White, Richard. Romine, Lamon Jones and William Ackerman Cabot Microelectronics

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 10, No. 4, pp. 536~540 (009) J O U R N A L O F Ceramic Processing Research Electrical and optical properties of MgO films deposited on soda lime glass by a

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

2007 IEEE International Conference on Electron Devices and Solid-State Circuits Proceedings 2007 IEEE International Conference on Electron Devices and Solid-State Circuits ~ December 20-22, 2007 Tayih Landis Hotel, Tainan, Taiwan Volume I Aluminium Incorporation in Lanthanum Oxide

More information

Semiconductor device fabrication

Semiconductor device fabrication REVIEW Semiconductor device fabrication is the process used to create the integrated circuits (silicon chips) that are present in everyday electrical and electronic devices. It is a multiplestep sequence

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Title: Electrochemical characterization of Cu dissolution and CMP. in ammonium hydroxide hydrogen peroxide based slurries.

Title: Electrochemical characterization of Cu dissolution and CMP. in ammonium hydroxide hydrogen peroxide based slurries. Title: Electrochemical characterization of Cu dissolution and CMP in ammonium hydroxide hydrogen peroxide based slurries. Authors: R. Prasanna Venkatesh and S. Ramanathan * Affiliation: Dept. Chem. Engg.,

More information

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Yuzhuo Li Center for Advanced Materials Processing Department of Chemistry Clarkson University Potsdam, NY 13699

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

Deposited by Sputtering of Sn and SnO 2

Deposited by Sputtering of Sn and SnO 2 Journal of the Korean Ceramic Society Vol. 49, No. 5, pp. 448~453, 2012. http://dx.doi.org/10.4191/kcers.2012.49.5.448 Comparative Study of Nitrogen Incorporated SnO 2 Deposited by Sputtering of Sn and

More information

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods Y. Kim Professor, Department of Materials Science and Engineering, College of Engineering, Kyonggi

More information

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS In many works on the material removal in the Chemical Mechanical Process (CMP), the effects of several process parameters have

More information

Keywords: liquid crystal, ion beam irradiation method, pretilt angle, amorphous carbon.

Keywords: liquid crystal, ion beam irradiation method, pretilt angle, amorphous carbon. THE STABILITY OF LIQUID CRYSTAL PRETILT ANGLE ON ION BEAM IRRADIATED AMORPHOUS CARBON FILMS DEPENDING ON AIR EXPOSING SEQUENCE AND SURFACE CLEANING METHOD Jongbok Kim Department of Materials Science and

More information

EFFECT OF CRYSTALORIENTATIONIN OXIDATION PROCESS OF VLSI FABRICATION

EFFECT OF CRYSTALORIENTATIONIN OXIDATION PROCESS OF VLSI FABRICATION International Journal of Research in Engineering, Technology and Science, Volume VII, Special Issue, Feb 2017 www.ijrets.com, editor@ijrets.com, ISSN 2454-1915 EFFECT OF CRYSTALORIENTATIONIN OXIDATION

More information

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization INTERNATIONAL JOURNAL OF PRECISION ENGINEERING AND MANUFACTURING-GREEN TECHNOLOGY Vol. 2, No. 1, pp. 59-63 JANUARY 2015 / 59 10.1007/s40684-015-0008-9 Effect of Surfactant on Package Substrate in Chemical

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

Process Improvement Projects May 2006 Dr. Lynn Fuller

Process Improvement Projects May 2006 Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Process Improvement Projects May 2006 Dr. Lynn Fuller 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

United States Patent (19)

United States Patent (19) United States Patent (19) Wang et al. 54 METHOD FOR IMPROVING THE PLANARITY OF SHALLOW TRENCH SOLATION 75 Inventors: Jyh-Lih Wang Yung-Shun Chen, both of Hsinchu, Taiwan 73 Assignee: Winbond Electronics

More information

Properties of Step-down Multilayer Piezo Stack Transformers Using PNN-PMN-PZT Ceramics with CeO 2 Addition

Properties of Step-down Multilayer Piezo Stack Transformers Using PNN-PMN-PZT Ceramics with CeO 2 Addition Journal of the Korean Physical Society, Vol. 58, No. 3, March 2011, pp. 580 584 Properties of Step-down Multilayer Piezo Stack Transformers Using PNN-PMN-PZT Ceramics with CeO 2 Addition Insung Kim, Minsoo

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

High temperature oxidation of iron-iron oxide core-shell nanowires composed of iron nanoparticles

High temperature oxidation of iron-iron oxide core-shell nanowires composed of iron nanoparticles Electronic Supplementary Material (ESI) for Physical Chemistry Chemical Physics. This journal is the Owner Societies 2016 High temperature oxidation of iron-iron oxide core-shell nanowires composed of

More information

Lecture No. (7) Rubber Fillers

Lecture No. (7) Rubber Fillers Lecture No. (7) Rubber Fillers Introduction of Rubber Fillers Rubbers in general are seldom used in their only form because of they are too weak to fulfill practical requirements for many applications

More information

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic

More information

Evaluation of the Surface of Nitinol after MR Polishing Process

Evaluation of the Surface of Nitinol after MR Polishing Process Journal of Applied Mathematics and Physics, 2015, 3, 208-217 Published Online February 2015 in SciRes. http://www.scirp.org/journal/jamp http://dx.doi.org/10.4236/jamp.2015.32031 Evaluation of the Surface

More information

Increased Yield Using PDS Products Grade BN-975 with Hydrogen Injection

Increased Yield Using PDS Products Grade BN-975 with Hydrogen Injection Increased Yield Using PDS Products Grade BN-975 with Hydrogen Injection Technical Bulletin The purpose of the hydrogen injection process is to increase die yield per wafer. This is accomplished because

More information

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES Andrey Zagrebelny, Sony Varghese, Andrew Carswell 1 INTRODUCTION ITRS roadmap: Moore s Law vs. 3D/vertical architectures New

More information

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts Direct contacts between aluminum alloys and thin film transistors (TFTs) contact layers were studied. An Al-Ni alloy was found to be contacted directly with an indium tin oxide (ITO) layer successfully

More information

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures PAPER D Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures In: Proceedings of IEEE/SEMI Advanced Semiconductors Manufacturing Conference

More information

CMP Process Development for Shallow Trench Isolation (STI)

CMP Process Development for Shallow Trench Isolation (STI) CMP Process Development for Shallow Trench Isolation (STI) Robert A. Seifridge Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract Tool characterization and optimization

More information

Instructor: Dr. M. Razaghi. Silicon Oxidation

Instructor: Dr. M. Razaghi. Silicon Oxidation SILICON OXIDATION Silicon Oxidation Many different kinds of thin films are used to fabricate discrete devices and integrated circuits. Including: Thermal oxides Dielectric layers Polycrystalline silicon

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

KINETIC STUDY OF THE CORROSION OF ALUMINA CERAMICS IN SULPHURIC ACID AQUEOUS SOLUTION

KINETIC STUDY OF THE CORROSION OF ALUMINA CERAMICS IN SULPHURIC ACID AQUEOUS SOLUTION Index KINETIC STUDY OF THE CORROSION OF ALUMINA CERAMICS IN SULPHURIC ACID AQUEOUS SOLUTION Lidija Ćurković 1*, Mirjana Fudurić, Stanislav Kurajica 3 1 Faculty of Mechanical Engineering and Naval Architecture,

More information

GROWTH AND CHARACTERIZATION OF NANOSTRUCTURED CdS THIN FILMS BY CHEMICAL BATH DEPOSITION TECHNIQUE

GROWTH AND CHARACTERIZATION OF NANOSTRUCTURED CdS THIN FILMS BY CHEMICAL BATH DEPOSITION TECHNIQUE Chalcogenide Letters Vol. 6, No. 8, September 29, p. 415 419 GROWTH AND CHARACTERIZATION OF NANOSTRUCTURED CdS THIN FILMS BY CHEMICAL BATH DEPOSITION TECHNIQUE V. B. SANAP *, B. H. PAWAR, * MSS s College

More information

Effect of Flocculation of Alumina Slip on the Pore Size Distribution of Cast Alumina by Polyacrylamide (PAM)

Effect of Flocculation of Alumina Slip on the Pore Size Distribution of Cast Alumina by Polyacrylamide (PAM) Chiang Mai J. Sci. 2008; 35(1) CEO-007 17 Chiang Mai J. Sci. 2008; 35(1) : 17-22 www.science.cmu.ac.th/journal-science/josci.html Contributed Paper Effect of Flocculation of Alumina Slip on the Pore Size

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Particulate Science and Technology in the Engineering of Slurries for Chemical Mechanical Planarization

Particulate Science and Technology in the Engineering of Slurries for Chemical Mechanical Planarization Particulate Science and Technology in the Engineering of Slurries for Chemical Mechanical Planarization S. Raghavan Department of Materials Science and Engineering, The University of Arizona 1 M. Keswani

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 1, pp. 100~106 (2010) J O U R N A L O F Ceramic Processing Research Factors affecting surface roughness of Al 2 O 3 films deposited on Cu substrates

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

BASICS OF MANUFACTURING INTEGRATED CIRCUITS

BASICS OF MANUFACTURING INTEGRATED CIRCUITS BASICS OF MANUFACTURING INTEGRATED CIRCUITS Opportunities for filtration exist for the manufacture of very small electronic components referred to as semiconductors. This broad category includes devices

More information

PREPARATION OF ALUMINA MATRIX FOR CERAMIC COMPOSITES BY SOL-GEL METHOD

PREPARATION OF ALUMINA MATRIX FOR CERAMIC COMPOSITES BY SOL-GEL METHOD PREPARATION OF ALUMINA MATRIX FOR CERAMIC COMPOSITES BY SOL-GEL METHOD Jiayu Xiao, Zhengfang Xie, Zhaohui Chen, Xingye Wang, Wenwei Zheng, and Junzhi Liu Department of material Engineering and Applied

More information

Surface roughness of optical quartz substrate by chemical mechanical polishing

Surface roughness of optical quartz substrate by chemical mechanical polishing Vol. 35, No. 11 Journal of Semiconductors November 2014 Surface roughness of optical quartz substrate by chemical mechanical polishing Duan Bo( 段波 ), Zhou Jianwei( 周建伟 ), Liu Yuling( 刘玉岭 ), Sun Mingbin(

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Optically thin palladium films on silicon-based substrates and nanostructure formation: effects of hydrogen

Optically thin palladium films on silicon-based substrates and nanostructure formation: effects of hydrogen Ž. Applied Surface Science 161 2000 54 60 www.elsevier.nlrlocaterapsusc Optically thin palladium films on silicon-based substrates and nanostructure formation: effects of hydrogen Andreas Othonos a,),

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Silicon Silicon Isotropic etch process Anisotropic etch process Wet chemistry www.halbleiter.org Contents Contents List of Figures II 1 Wet chemistry 1 1.1 Etch processes..................................

More information

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS International Journal of Nanotechnology and Application (IJNA) ISSN(P): 2277-4777; ISSN(E): 2278-9391 Vol. 6, Issue 5, Dec 2016, 1-6 TJPRC Pvt. Ltd. AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

Effect of temperature on copper chemical mechanical planarization

Effect of temperature on copper chemical mechanical planarization University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2007 Effect of temperature on copper chemical mechanical planarization Veera Raghava R Kakireddy University

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Fabrication and application of high quality diamond coated. CMP pad conditioners

Fabrication and application of high quality diamond coated. CMP pad conditioners Fabrication and application of high quality diamond coated CMP pad conditioners Hua Wang 1,a, Fanghong Sun 1,b* 1 School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai, 200240, China

More information