Laser Direct Writing of circuit elements and sensors

Size: px
Start display at page:

Download "Laser Direct Writing of circuit elements and sensors"

Transcription

1 Laser Direct Writing of circuit elements and sensors A. Piqué a*, D.B. Chrisey a, R.C.Y. Auyeung b, S. Lakeou c, R. Chung d, R.A. McGill a, P.K. Wu e, M. Duignan f, J. Fitz-Gerald a, and H. D. Wu b a Naval Research Laboratory, Washington, DC b SFA, Inc. Largo, MD c Univ. of the District of Columbia, Washington, DC d Geo-Centers, Inc., Ft. Washington, MD e Southern Oregon Univ., Ashland, OR f Potomac Photonics, Inc, Lanham, MD ABSTRACT A novel approach for maskless deposition of numerous materials has been developed at the Naval Research Laboratory. This technique evolved from the combination of Laser Induced Forward Transfer (LIFT) and Matrix Assisted Pulsed Laser Evaporation (MAPLE), and utilizes a computer controlled laser micromachining system. The resulting process is called MAPLE Direct Write or MAPLE-DW. MAPLE-DW can be used for the rapid fabrication of circuits and their components without the use of masks. Using MAPLE-DW, a wide variety of materials have been transferred onto different types of substrates such as glass, alumina, plastics, and various types of circuit boards. Materials such as metals, dielectrics, ferrites, polymers and composites have been successfully deposited without any loss in functionality. Using a computer controlled stage, the above mentioned materials were deposited at room temperature onto various substrates independent of their surface morphology, with sub-10µm resolution. In addition, multilayer structures of different materials were demonstrated by this technique. Multilayer structures form the basis of prototype thin film electronic devices such as resistors, capacitors, cross-over lines, inductors, etc. An overview of the results obtained using MAPLE-DW as well as examples of several devices made using this technique is presented. Keywords: Direct Write, Rapid Prototyping, Laser Induced Forward Transfer, Matrix Assisted Pulsed Laser Evaporation, MAPLE Direct Write 1. INTRODUCTION The ever increasing role played by electronic systems in our everyday life show no signs of slowing down. However, the demand for new products has placed an enormous emphasis towards miniaturization and increased functionality. These trends call for the ability to produce electronic assemblies with reduced weight, volume and cost as well as the rapid fabrication of prototypes for testing new designs and architectures. Considerable progress has been achieved with the use of surface mounted electronic components. This progress, however, still relies on the old strategy of first designing, then patterning, and finally mounting each of the system components on a circuit board. Any changes to the design usually require a start over from the patterning phase. In order to completely sidestep the above described process, a totally new approach is needed. One such approach would be to employ rapid prototyping techniques. The application of rapid prototyping processes to the fabrication of electronic assemblies would make it possible to directly write over any surface all the elements and components called for in the circuit design. Moreover, the time that will be saved would have a great impact in reducing the long delay between concept, design and production. * Correspondence: pique@nrl.navy.mil; Telephone: (202) ; Fax: (202) SPIE s LASE 99, th January 1999, San Jose, CA. Proceedings preprint ( )

2 Rapid Prototyping (RP) techniques have offered some of these advantages for the manufacture of mechanical components for some time, where it is now possible to generate an actual 3-dimensional working part from a CAD drawing using CAM tools. The development of RP techniques for the fabrication of electronic devices is only recent. They offer the capability to deposit or pattern the different types of materials that make an electronic device without the use of masks or patterns, and as such they are known as direct write processes. Direct write technologies do not compete with photolithography for size and scale, but rather complement it for specific applications requiring rapid turnaround and/or pattern iteration, conformal patterning, or for modeling difficult circuits. Current technologies are either subtractive, i.e. they remove material from the part or additive, i.e. material is added instead. Examples of direct write technologies for fabricating or modifying metallic interconnects and/or other passive elements include laser trimming, ink jet printing, laser chemical vapor deposition (LCVD), Micropen and laser engineered nano-shaping (LENS). However, none of these techniques is yet capable of operating in air and at room temperature while maintaining sub-10 µm resolution as well as being compatible with the broad classes of materials required for electronic assemblies and not requiring ex situ processing. In addition, none of these techniques is capable of operating in both additive and subtractive fashion. By combining some of the major positive advantages of laser induced forward transfer (LIFT) and matrix assisted pulsed laser evaporation (MAPLE), a novel laser driven direct write technique has been developed. This technique has been called MAPLE Direct Write (MAPLE-DW). This paper will outline the approach to the MAPLE-DW process, and some of its advantages, such as the ability to perform in situ laser micromachining, surface pretreatment and annealing. In addition, details on the fabrication of gold interconnect lines and nichrome thin film resistors using LIFT will be provided. Finally, examples of ferroelectric single layer capacitors as well as a ferrite core inductor fabricated using the newly developed MAPLE-DW technique will be shown LIFT 2. BACKGROUND Over the past decade, several direct write techniques based on laser-induced processes have been developed for depositing electronic materials for a variety of applications. Among these techniques, laser induced forward transfer or LIFT has shown the ability for direct writing of metals for interconnects and mask repair and also dielectric materials such as simple metal oxides. The LIFT process utilizes the focused beam of a pulsed laser to remove a thin pre-deposited film from a laser transparent substrate, called the ribbon. The removed material is then redeposited onto a second substrate placed in close proximity ( 25 µm) to the ribbon. The area coated per laser pulse depends on the size of the laser spot striking the ribbon as well as the gap between both substrates. LIFT was first demonstrated using metals such as Cu and Ag over substrates such as silicon and fused silica utilizing excimer or Nd:YAG lasers 1,2. There are several experimental requirements for LIFT to produce useful patterns including: 1) the laser fluence should exceed the threshold fluence for removing the thin film from the transparent support, 2) the target thin film should not be too thick i.e., less than a few 100 nm, 3) the target film should be in close contact to the substrate, and 4) the absorption of the target film should be high. Operating outside this regime results in problems with morphology, spatial resolution, and adherence of the transferred patterns. Repetitive transfer of material allows control of the film thickness deposited on the substrate. Laser induced modification of the transferred material can occur through the transparent substrate after deposition. Overall, LIFT is a simple and powerful technique that can be used on a wide variety of target films MAPLE A new vacuum deposition technique, known as Matrix Assisted Pulsed Laser Deposition, or MAPLE 3 has been developed at NRL for depositing thin and uniform layers of chemoselective polymers 4,5,6 as well as other organic materials, such as carbohydrates 7. This technique is a variation of the conventional Pulsed Laser Evaporation process in that it provides a more gentle mechanism for transferring complex organic molecules from the solid to their vapor phase. In MAPLE, a matrix consisting of a frozen solution of the organic compound dissolved in a relatively volatile solvent is used as the laser target. When the laser strikes the surface of the target, it causes rapid vaporization of the solvent molecules. Part of the thermal energy acquired by the solvent is transferred to the organic molecules. When these molecules become exposed to the gas -target interface, they are transported into the gas phase with sufficient kinetic energy to be desorbed from the target surface without being denatured in the process. A film will be formed onto a substrate placed opposite to the target, while the solvent is pumped away. 2

3 2.3. MAPLE Direct Write The MAPLE-DW 8 process combines several of the advantages of LIFT with MAPLE in order to produce a novel laser driven direct write technique capable of transferring various materials such as metals, ceramics and polymers. The MDW process has been used successfully to deposit numerous types of materials in air and at room temperature. The resolution with which these materials have been deposited is of the order of 10µm onto a variety of substrates such as silicon, fused silica, polyimide and several types of circuit board materials. Because the MAPLE-DW process uses a highly focused laser beam, it can easily be utilized for micromachining, drilling and trimming applications, by simply removing the ribbon from the laser path. Thus MAPLE-DW is both an additive as well as subtractive direct write process. The key to the MAPLE-DW process is the development of a suitable matrix containing the material to be transferred. This matrix is then used to form a very uniform coating on the surface of a transparent substrate, i.e. the ribbon. The matrix is chosen so it strongly absorbs the laser wavelength being used, generally, laser fluences below the ablation threshold of the matrix are used. The purpose of the matrix is to hold the material in place until heating from the laser pulse causes the matrix to decompose resulting on the material being ejected from the ribbon and transferred to the nearby substrate. Because the laser fluences employed are lower than the ablation thresholds of the materials being transferred, no decomposition ever takes place, so the functionality of the transferred material is never affected. Similarly to LIFT, MAPLE-DW requires that the ribbon be held in close proximity to the substrate. In MAPLE-DW, the area coated per laser pulse also depends on the size of the laser spot striking the ribbon as well as the gap between the ribbon and the substrate. Figure 1 shows a simple schematic diagram of a MAPLE-DW system. Patterned Laser Forward Transferred Material Material to be Deposited Transparent "Ribbon" Pulsed Laser Energy Objective Micromachined Channel Micromachined Through-Vias Substrate Figure 1. Schematic diagram showing the basic elements of a MAPLE-DW system. 3

4 3. RESULTS AND DISCUSSION Fused silica quartz discs 5.0 cm dia. of various thickness were used as ribbon supports. These discs were coated by e-beam evaporation with 150 nm thick layers of gold for the LIFT of conducting lines and with 150 nm thick layers of nichrome for the LIFT of the resistors. For the MAPLE-DW experiments, a matrix containing the materials to be transferred was used to coat the discs. Various substrates were used for the transfer experiments including silicon, glass, polyimide and various types of circuit boards such as FR-4 and Rogers RO4003. In all the transfer experiments a 25 micron spacer was used to separate the coated side of the ribbons from the substrates. Both the substrate and ribbons were held in place using a vacuum chuck over an X-Y translation stage. The output from an excimer laser operating with a KrF mixture (248 nm, 10 ns pulse) was directed through a circular aperture and then through a 10x UV grade objective. By changing the aperture size, beam spots from 8 to 50 microns were generated. The laser fluence was estimated by averaging the total energy of the incident beam over the irradiated area. A simple test pattern containing examples of passive circuit elements such as metal lines for interconnects, single layer capacitors, coplanar resistors and inductors with a rectangular cross section core was developed for testing purposes. The test pattern was prepared in the form of a CAD file which was then translated into machine code using a software package developed by Potomac Photonics, Inc 9. The machine code routines were then used to control the substrate position as well as the laser firing during the fabrication of each structure described in this work. These routines contained information about the laser spot size in use, the relative shift required between laser pulses, as well as the required overlap between layers. Figure 2 shows the layout that was used. 8 mm Inductor Capacitors Resistors Conductive Lines 5 mm Figure 2. CAD design pattern comprising of typical circuit elements used for evaluating the MAPLE-DW process Conductive lines Using the gold coated ribbons, Au conducting lines were deposited using a 25 micron laser spot size following the patterns indicated in Figure 2. It was found that in order to improve the morphology of the transferred gold it was necessary to operate at laser fluences only slightly above the ablation threshold of the gold films. At higher fluences, any part of the laser pulse which is not absorbed by the Au layer on the ribbon can interact with the gold already transferred over the substrate and ablate it. Furthermore, multiple passes were required in order to build the gold lines to the desired thickness of 4

5 10 microns. The overlap between those passes also had a marked effect on the morphology of the final line. Figure 3 shows SEM images comparing two gold lines made by LIFT. In the first one, neither the laser fluence nor the overlap between passes had been optimized. The second line clearly shows the improvement achieved after optimization. The best results were obtained for laser fluences between 550 and 600 mj/cm 2 and 12.5 µm overlap between passes. Once the entire pattern of interconnect lines from Figure 2 was completed, any debris which might have accumulated on the sides of the lines during the transfer was removed by rastering the laser along the edges of the lines using the same 25 µm spot with the ribbon removed. Microscope images of the resulting conductive gold lines are shown on Figure 4. The surface roughness of the lines reflect largely the underlying roughness of the substrate on which they were transferred. The average conductivity of these lines was measured to be 7.5 x 10-7?m at room temperature which is about 30 times higher than that of bulk Au (2.4 x 10-8?m). Figure 3. SEM images showing the effect of varying the fluence and the overlap between passes in the fabrication of Au conducting lines. The fluence was too high and the overlap did not provide good uniformity for the line shown in the left image. The right image shows a line made after both these parameters had been optimized. The scale bar indicates 10 µm. Figure 4. Au lines deposited by LIFT on RO4003 circuit board using the tests pattern of Fig. 2. The Au linewidth is approximately 30 µm. A final laser trimming pass was performed along both sides of the line. The scale bar indicates 125 µm. 5

6 3.2. Coplanar Resistors The 5 coplanar resistors shown in Figure 2 were made by using nichrome ribbons. A 25 µm laser spot was used at a fluence of 1.5 J/cm 2 to generate the rectangular resistor pads. The overlap between successive passes was optimized in order to improve the uniformity of the nichrome structures. The thickness of the nichrome was about 10 µm. The measured resistances ranged from 65 to 190? and they scaled with respect to cross section and length as expected. The resistivity of the transferred nichrome was considerably larger than that of bulk and is likely due to the high degree of porosity present in the transferred nichrome as well as oxidation of the alloy during transfer. Figure 5 show a micrograph of the resistors. 400 µm Figure 5. Various size nichrome coplanar resistors fabricated by LIFT Capacitors and Inductors For the fabrication of the capacitors and inductor a hybrid approach was used. First, a 3 µm thick gold layer was e-beam deposited over bare Rogers RO4003, a hydrocarbon ceramic composite circuit board used for RF applications. The bottom electrodes were then patterned with the laser. Using a 25 µm laser spot and a fluence of 3 J/cm 2 the gold was ablated in order to generate the bottom electrode patterns shown in Figure 2. Then a ferroelectric layer consisting of BaTiO 3 (BTO) in the case of the capacitors or a ferrite layer consisting of Y 3 Fe 5 O 12 (YIG) in the case of the inductor was deposited by MAPLE-DW. Finally, the top Au electrodes were deposited by LIFT using the same conditions employed for making the conduction lines. Figure 6 illustrates the above steps schematically. For the capacitors, a 25 µm laser spot at a fluence of 400 mj/cm 2 was used to fabricate 20 to 30 µm thick BTO layers. For the inductor, similar parameters were used to fabricate a 20 µm thick YIG core. In both cases the morphology and thickness of the BTO and YIG layers was quite uniform, and the surface roughness variations observed with a profilometer were due primarily to the imperfections of the underlying substrate. Figure 7 shows the profilometer scan from one of the capacitors made. 6

7 1 2 3 Figure 6. Schematic showing the fabrication steps for the parallel plate capacitors. (1) Patterning of the bottom Au electrode, (2) MAPLE-DW of the dielectric layer, (3) LIFT of top Au electrode. Figure 7. Profilometer scan showing the uniformity across the capacitors fabricated by MAPLE-DW. The parallel plate capacitors were evaluated from frequencies ranging from 1 MHz up to 1.8 GHz using a HP4291A impedance analyzer. The capacitance ratio between the large and small capacitors was close to their area ratio (4:1) as expected with some variations attributed to non-uniformities on the BTO transfers. All the capacitors made showed capacitances between 2 and 40 pf and disipation factors between 0.11 to These capacitors were then annealed in a furnace at 200 C for two hours. After the annealing step, the capacitances dropped by about 40% while the dissipation 7

8 factors decreased by an order of magnitude. From these results, the effective dielectric constant of the capacitors was estimated to be around 25 after the annealing step. Figure 8 shows a micrograph of one of the BTO capacitors pairs made. The inductance of the four turn YIG core inductor was 9 nh at 1 MHz. The inductor exhibited very high losses and the effective permeability was estimated to be about 70. This result can be attributed to the fact that the inductor made had a very small YIG core with a large number of air gaps. Figure 9 shows a micrograph of the inductor. Figure 8. BaTiO 3 capacitors with Au electrodes made by MAPLE-DW. The larger capacitor was 1.6 mm x 1.6 mm other is 25% smaller. Figure 9. Four turn inductor with YIG core fabricated by MAPLE-DW. 8

9 3.4 Gas Sensors A new type of gas sensor based on conductimetric techniques 10,11 can be fabricated using composites made from a dispersion of a conducting material such as graphite and a non-conducting polymer. The resulting matrix is conductive and its resistance will change when exposed to different vapors. A ribbon made with a 4 µm thick layer of Polyepichlorohydrin (a chemoselective polymer) mixed with graphite was used in order to test the ability of the MAPLE-DW process to transfer polymer materials as well as composites 12. A series of conductive patches across gold electrodes were produced that showed sensitivities of the order of parts per million (ppm) when exposed to several gases. This work is still in its preliminary stages, and more detailed analysis will follow. However, it clearly demonstrates that MAPLE-DW can also be used for direct writing of functional polymer materials as well as composites. Figure 10 shows an optical micrograph of one of the prototype chemoresistors fabricated by MAPLE-DW. 500 µm Figure 10. Photograph of a PECH/graphite chemoresistor gas sensor fabricated by MAPLE-DW. 4. SUMMARY A novel direct write process for rapid prototyping of electronic circuit elements was developed by combining two laser based processes, LIFT and MAPLE. The new technique is called MAPLE Direct Write or MAPLE-DW. Materials such as metals, dielectrics, ferrites, polymers and composites have been successfully deposited without any loss in functionality by this technique. MAPLE-DW was used to fabricate gold interconnect lines, nichrome resistors, barium titanate parallel plate capacitors and a YIG core inductor. All these components were fabricated in air and at room temperature with sub-10 µm resolution. Using the MAPLE-DW setup developed for this work both subtractive processes such as laser machining, trimming and cleaning as well as additive processes such as LIFT and MAPLE-DW were demonstrated. 9

10 5. ACKNOWLEDGEMENTS We would like to thank Tim Schaeffer of the Mayo Foundation for the high frequency measurements of the capacitors and inductor made for this work. Financial support for this work was provided by DARPA. 6. REFERENCES 1. J. Bohandy, B.F. Kim, and F.J. Adrian, J. Appl. Phys. 60, 1538 (1986). 2. J. Bohandy, B.F. Kim, F.J. Adrian and A.N. Jette, J. Appl. Phys. 63, 1558 (1988). 3. R. A. McGill, D. B. Chrisey, Method of Producing Thin Film Coating by Matrix Assisted Pulsed Laser Deposition, US Navy Case No. 79, R.A. McGill, R. Chung, D.B Chrisey, P.C. Dorsey, P. Matthews, A. Piqué, T.E. Mlsna, and J.L Stepnowski, IEEE Trans. On Ultrasonics, Ferroelectrics and Frequency Control, 45, 1370 (1998). 5. R. A. McGill, D. B. Chrisey, A. Piqué, T. E. Mlsna, SPIE Proceedings Vol 3274, pp , A. Piqué, R.A. McGill, D.B. Chrisey. J. Callahan, T.E. Mlsna, in Advances in Laser Ablation of Materials, MRS Proceedings, vol 526, p. 421, A. Piqué, D.B. Chrisey, B.J. Spargo, M.A. Bucaro, R.W. Vachet, J.H. Callahan, R.A. McGill and T.E. Mlsna, in Advances in Laser Ablation of Materials, MRS Proceedings, vol 526, p. 421, D. B. Chrisey, R. A. McGill, A. Piqué, Matrix Assisted Pulsed Laser Evaporation Direct Write, US Navy Case No. 79, Potomac Photonics Inc Nicole Drive, Lanham, MD J.W. Gardner, M. Craven, C. Dow and E.L. Hines, Meas. Sci. Technol., 9, 120 (1998). 11. J.V. Hatfield, P. Neaves, P.J. Hicks, K. Persaud and P. Travers, Sens. Actuators B: Chem., 18, 221 (1994). 12. R. A. McGill, D. B. Chrisey, A. Piqué, Fabrication of Patternable Electrically Conductive Thin Films for Chemoresistor Chemical Sensor Applications with Laser Evaporation Techniques, US Navy Case No. 79,

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Journal of Optoelectronics and Advanced Materials Vol. 4, No. 3, September 2002, p. 809-812 MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Foundation for Research

More information

Laser Micromachining of Bulk Substrates and Thin Films Celine Bansal

Laser Micromachining of Bulk Substrates and Thin Films Celine Bansal Laser Micromachining of Bulk Substrates and Thin Films Celine Bansal Oxford Lasers Ltd Moorbrook Park Didcot, Oxfordshire, OX11 7HP Tel: +44 (0) 1235 810088 www.oxfordlasers.com Outline Oxford Lasers Importance

More information

KrF Excimer Laser Micromachining of Silicon for Micro- Cantilever Applications

KrF Excimer Laser Micromachining of Silicon for Micro- Cantilever Applications OPEN ACCESS Conference Proceedings Paper Sensors and Applications www.mdpi.com/journal/sensors KrF Excimer Laser Micromachining of Silicon for Micro- Cantilever Applications A.F.M. Anuar 1*, Y. Wahab,

More information

3 Pulsed laser ablation and etching of fused silica

3 Pulsed laser ablation and etching of fused silica 3 Pulsed laser ablation and etching of fused silica 17 3 Pulsed laser ablation and etching of fused silica Material erosion caused by short laser pulses takes place far from equilibrium and may be based

More information

Laser Direct-Write of Metallic Nanoparticle Inks

Laser Direct-Write of Metallic Nanoparticle Inks Laser Direct-Write of Metallic Nanoparticle Inks Raymond C.Y. Auyeung 1, Heungsoo Kim 1, Scott A. Mathews 2 and Alberto Piqué 1 1 Materials Science and Technology Division, Naval Research Laboratory, Washington

More information

High Throughput Laser Processing of Guide Plates for Vertical Probe Cards Rouzbeh Sarrafi, Dana Sercel, Sean Dennigan, Joshua Stearns, Marco Mendes

High Throughput Laser Processing of Guide Plates for Vertical Probe Cards Rouzbeh Sarrafi, Dana Sercel, Sean Dennigan, Joshua Stearns, Marco Mendes High Throughput Laser Processing of Guide Plates for Vertical Probe Cards Rouzbeh Sarrafi, Dana Sercel, Sean Dennigan, Joshua Stearns, Marco Mendes IPG Photonics - Microsystems Division Outline Introduction

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

Lasers and Laser Systems for Micro-machining

Lasers and Laser Systems for Micro-machining Lasers and Laser Systems for Micro-machining Martyn Knowles Oxford Lasers Ltd Unit 8, Moorbrook Park Didcot, Oxfordshire, OX11 7HP Tel: +44 (0) 1235 810088 www.oxfordlasers.com Lasers and Laser Systems

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

LASER MICROPROCESSING POWERED BY UV PHOTONS Paper #P109

LASER MICROPROCESSING POWERED BY UV PHOTONS Paper #P109 LASER MICROPROCESSING POWERED BY UV PHOTONS Paper #P109 Ralph Delmdahl, Rainer Paetzel Coherent GmbH, Hans-Boeckler-Str.12, Goettingen, 37079, Germany Abstract Lasers with ultraviolet (UV) output offer

More information

Specimen configuration

Specimen configuration APPLICATIONNOTE Model 1040 NanoMill TEM specimen preparation system Specimen configuration Preparing focused ion beam (FIB) milled specimens for submission to Fischione Instruments. The Model 1040 NanoMill

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

Yttrium iron garnet surface modification during pulsed laser ablation deposition

Yttrium iron garnet surface modification during pulsed laser ablation deposition Materials Science-Poland, Vol. 22, No. 2, 2004 Yttrium iron garnet surface modification during pulsed laser ablation deposition NOOR BAA YAH IBRAHIM 1*, CHRIS EDWARDS 2, STUART B. PALMER 2 1 PPFG, Fakulti

More information

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures VOLUME 4 - ELECTROFORMING Leveraging the Precision of over Alternative Processes When Developing Nano-scale Structures Electrical and mechanical component and subsystem designers generally have five techniques

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Laser printing and curing/sintering of silver paste lines for solar cell metallization

Laser printing and curing/sintering of silver paste lines for solar cell metallization Lasers in Manufacturing Conference 2015 Laser printing and curing/sintering of silver paste lines for solar cell metallization D. Munoz-Martin a *, Y. Chen a, A. Márquez a, M. Morales a, C. Molpeceres

More information

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION Michael Töpper Fraunhofer Research Institution for Reliability and Microintegration IZM Germany Martin Wilke, Klaus-Dieter

More information

Photonic Drying Pulsed Light as a low Temperature Sintering Process

Photonic Drying Pulsed Light as a low Temperature Sintering Process Photonic Drying Pulsed Light as a low Temperature Sintering Process Lou Panico Xenon Corporation W E S T E R N M I C H I G A N U N I V E R S I T Y PRESENTATION OVERVIEW What is Printed Electronics Materials

More information

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants Damage Threats and Response of Final Optics for Laser-Fusion Power Plants M. S. Tillack 1, S. A. Payne 2, N. M. Ghoniem 3, M. R. Zaghloul 1 and J. F. Latkowski 2 1 UC San Diego, La Jolla, CA 92093-0417

More information

Radiation Damage of Polycrystalline CVD Diamond with Graphite Electrical Contacts

Radiation Damage of Polycrystalline CVD Diamond with Graphite Electrical Contacts Radiation Damage of Polycrystalline CVD Diamond with Graphite Electrical Contacts E. Alemanno 1,2, M. Martino 1,2, A.P. Caricato 1,2, M. Corrado 1,2, C. Pinto 1,2, S. Spagnolo 1,2, G. Chiodini 2, R. Perrino

More information

Fabrication of Micro and Nano Structures in Glass using Ultrafast Lasers

Fabrication of Micro and Nano Structures in Glass using Ultrafast Lasers Fabrication of Micro and Nano Structures in Glass using Ultrafast Lasers Denise M. Krol University of California, Davis IMI Glass Workshop Washington DC April 15-17, 2007 Femtosecond laser modification

More information

Challenges for Embedded Device Technologies for Package Level Integration

Challenges for Embedded Device Technologies for Package Level Integration Challenges for Embedded Device Technologies for Package Level Integration Kevin Cannon, Steve Riches Tribus-D Ltd Guangbin Dou, Andrew Holmes Imperial College London Embedded Die Technology IMAPS-UK/NMI

More information

Trench Structure Improvement of Thermo-Optic Waveguides

Trench Structure Improvement of Thermo-Optic Waveguides International Journal of Applied Science and Engineering 2007. 5, 1: 1-5 Trench Structure Improvement of Thermo-Optic Waveguides Fang-Lin Chao * Chaoyang University of Technology, Wufong, Taichung County

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Simple method for formation of nanometer scale holes in membranes T. Schenkel 1, E. A. Stach, V. Radmilovic, S.-J. Park, and A. Persaud E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 When

More information

Application of Electronic Devices for Aerosol Deposition Methods

Application of Electronic Devices for Aerosol Deposition Methods Application of Electronic Devices for Aerosol Deposition Methods NAKADA Masafumi, KAWAKAMI Toshihiro, IWANAMI Mizuki, OHASHI Keishi Abstract Oxide materials have superior functional characteristics that

More information

Aerosol Jet International User Group Meeting. Metallization and Functional Structures by Aerosol Jet deposition

Aerosol Jet International User Group Meeting. Metallization and Functional Structures by Aerosol Jet deposition 17.10.2012 1 2012 Aerosol Jet International User Group Meeting Metallization and Functional Structures by Aerosol Jet deposition Andreas Brose Institut für Mikro- und Sensorsysteme Lehrstuhl Mikrosystemtechnik

More information

Precision Optical Engineering

Precision Optical Engineering Precision Optical Engineering Products: Prisms Windows Mirrors Flats and Master angles Sight Glasses Key Features: Prisms (Contacted, Cemented, AR coated, Mounted) Windows (Flat, wedged, curved, drilled,

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation Kevin Yang, Habib Hichri, Ralph Zoberbier SÜSS MicroTec Photonic Systems Inc. June 18, 2015 MARKET DRIVER Mobile

More information

Thin Functional Films for solar cells and laser ablation transfer

Thin Functional Films for solar cells and laser ablation transfer Thin Functional Films for solar cells and laser ablation transfer Frank üesch Empa Materials Science & Technology Laboratory for Functional Polymers Überlandstrasse 129 CH-8600 Dübendorf Swiss Laser ET

More information

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION NCCAVS Joint Users Group Technical Symposium San Jose, June 7 th, 2017 Markus Arendt, SÜSS MicroTec

More information

Picosecond Laser Patterning of ITO Thin Films

Picosecond Laser Patterning of ITO Thin Films Available online at www.sciencedirect.com Physics Procedia 12 (2011) 133 140 LiM 2011 Picosecond Laser Patterning of ITO Thin Films Anna Risch*, Ralf Hellmann University of Applied Sciences Aschaffenburg,

More information

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS)

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) David Grant University of Waterloo ECE 639 Dr. Andrei Sazonov What s the current problem in AM- LCD and large-area area imaging? a-si:h has

More information

Embedding Passive and Active Components: PCB Design and Fabrication Process Variations

Embedding Passive and Active Components: PCB Design and Fabrication Process Variations Embedding Passive and Active Components: PCB Design and Fabrication Process Variations Vern Solberg Solberg Technical Consulting Saratoga, California USA Abstract Embedding components within the PC board

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

Obviously the type of product or coating required will determine the surface required & technique to produce it.

Obviously the type of product or coating required will determine the surface required & technique to produce it. Etching & texturing polymer films - different options for patterned deposition or encouraging nucleation. Charles A. Bishop C.A.Bishop Consulting Ltd. Abstract. A number of the nanotechnologies or psuedonanotechnologies

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu Multiphoton lithography based 3D micro/nano printing Dr Qin Hu EPSRC Centre for Innovative Manufacturing in Additive Manufacturing University of Nottingham Multiphoton lithography Also known as direct

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Preparation of Low-k Porous SiO 2 Films by SiO 2 /Organic Hybrid Chemical Vapor Deposition Akira Fujimoto and Osamu Sugiura Department of Physical Electronics, Tokyo Institute of Technology, 2-2-, O-okayama,

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper High Efficiency UV LEDs Enabled by Next Generation Substrates Whitepaper Introduction A primary industrial market for high power ultra-violet (UV) LED modules is curing equipment used for drying paints,

More information

X-ray Photoelectron Spectroscopy

X-ray Photoelectron Spectroscopy X-ray Photoelectron Spectroscopy X-ray photoelectron spectroscopy (XPS) is a non-destructive technique used to analyze the elemental compositions, chemical and electronic states of materials. XPS has a

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Large-area laser-lift-off processing in microelectronics

Large-area laser-lift-off processing in microelectronics Available online at www.sciencedirect.com Physics Procedia 41 (2013 ) 241 248 Lasers in Manufacturing Conference 2013 Large-area laser-lift-off processing in microelectronics R. Delmdahl*, R. Pätzel, J.

More information

Laser Welding of Engineering Plastics

Laser Welding of Engineering Plastics Laser Welding of Engineering Plastics Technical Information Further information on individual products: www.ultramid.de www.ultradur-lux.basf.com www.ultrason.de www.plasticsportal.eu/ultraform 2 LASER

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

PULSED LASER WELDING

PULSED LASER WELDING PULSED LASER WELDING Girish P. Kelkar, Ph.D. Girish Kelkar, Ph.D, WJM Technologies, Cerritos, CA 90703, USA Laser welding is finding growing acceptance in field of manufacturing as price of lasers have

More information

Isolation Scribing on Hybrid Films for CIGS-Based Solar Cell Using Various Nanosecond Pulsed Laser Wavelengths

Isolation Scribing on Hybrid Films for CIGS-Based Solar Cell Using Various Nanosecond Pulsed Laser Wavelengths Isolation Scribing on Hybrid Films for CIGS-Based Solar Cell Using Various Nanosecond Pulsed Laser Wavelengths Ming-Fei Chen *, Wen-Tse Hsiao **, Ming-Cheng Wang * and Ying-Fang Chen * * Department of

More information

Fabrication of the Crystalline ITO Pattern by Picosecond Laser with a Diffractive Optical Element

Fabrication of the Crystalline ITO Pattern by Picosecond Laser with a Diffractive Optical Element Fabrication of the Crystalline ITO Pattern by Picosecond Laser with a Diffractive Optical Element C.W. Chien and C.W. Cheng* ITRI South Campus, Industrial Technology Research Institute, No. 8, Gongyan

More information

SUPERPULSE : A NANOSECOND PULSE FORMAT FOR IMPROVING LASER DRILLING

SUPERPULSE : A NANOSECOND PULSE FORMAT FOR IMPROVING LASER DRILLING GA A25867 SUPERPULSE : A NANOSECOND PULSE FORMAT FOR IMPROVING LASER DRILLING by A.C. FORSMAN, E.H. LUNDGREN, A.L. DODELL, A.M. KOMASHKO, and M.S. ARMAS JUNE 2007 QTYUIOP DISCLAIMER This report was prepared

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Determination of absorption length of CO 2 and high power diode laser radiation for ordinary Portland cement and its influence on the depth of melting

Determination of absorption length of CO 2 and high power diode laser radiation for ordinary Portland cement and its influence on the depth of melting Determination of absorption length of CO 2 and high power diode laser radiation for ordinary Portland cement and its influence on the depth of melting J. Lawrence, and L. Li Manufacturing Division, Department

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

Deepukumar M. Nair*, K. M. Nair*, Ken Souders*, Michael Smith*, Mark McCombs*, James Parisi*, Tim Mobley*, and Bradley Thrasher**.

Deepukumar M. Nair*, K. M. Nair*, Ken Souders*, Michael Smith*, Mark McCombs*, James Parisi*, Tim Mobley*, and Bradley Thrasher**. Investigation of Silver Migration Impacts on Microwave Systems Fabricated on LTCC Substrate Under High-Power RF Excitation and High Temperature and Humidity Conditions. Deepukumar M. Nair*, K. M. Nair*,

More information

Microstructuring of Steel and Hard Metal using Femtosecond Laser Pulses

Microstructuring of Steel and Hard Metal using Femtosecond Laser Pulses Available online at www.sciencedirect.com Physics Procedia 12 (2011) 60 66 LiM 2011 Microstructuring of Steel and Hard Metal using Femtosecond Laser Pulses Manuel Pfeiffer a *, Andy Engel a, Steffen Weißmantel

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Device Attachment Methods and Wirebonding Notes for RT/duroid and RO4000 Series High Frequency Laminates

Device Attachment Methods and Wirebonding Notes for RT/duroid and RO4000 Series High Frequency Laminates Device Attachment Methods and Wirebonding Notes for RT/duroid and RO4000 Series High Frequency Laminates Volume production of microwave circuit assemblies requires fast, reliable and efficient methods

More information

Introduction. 1. Sputtering process, target materials and their applications

Introduction. 1. Sputtering process, target materials and their applications Sputtering is widely used in the production of electronic devices such as liquid crystal displays (LCDs), optical media, magnetic media and semiconductors. The Kobelco Research Institute, Inc. has been

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

NanoSystemsEngineering: NanoNose Final Status, March 2011

NanoSystemsEngineering: NanoNose Final Status, March 2011 1 NanoSystemsEngineering: NanoNose Final Status, March 2011 The Nanonose project is based on four research projects (VCSELs, 3D nanolithography, coatings and system integration). Below, the major achievements

More information

Delamination of Thin Films Using Laser Induced Stress Waves

Delamination of Thin Films Using Laser Induced Stress Waves Center for Nanoscale Chemical-Electrical-Mechanical Manufacturing Systems Delamination of Thin Films Using Laser Induced Stress Waves Undergraduate Researcher Angelica Anne Vargas, Research Experience

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

DEVELOPMENT OF HIGH EFFICIENCY FLEXIBLE CdTe SOLAR CELLS

DEVELOPMENT OF HIGH EFFICIENCY FLEXIBLE CdTe SOLAR CELLS DEVELOPMENT OF HIGH EFFICIENCY FLEXIBLE CdTe SOLAR CELLS A.Romeo, M. Arnold, D.L. Bätzner, H. Zogg and A.N. Tiwari* Thin Films Physics Group, Laboratory for Solid State Physics, Swiss Federal Institute

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

Microwave Plasma Processing

Microwave Plasma Processing Microwave Plasma Processing MUEGGE GMBH Hochstraße 4-6 64385 Reichelsheim Fon +49 (0) 6164-93 07 11 Fax +49 (0) 6164-93 07 93 info@muegge.de www.muegge.de Microwave Plasma Processing Microwave Plasma Technology:

More information

Laser removal of oxides and particles from copper surfaces for microelectronic fabrication

Laser removal of oxides and particles from copper surfaces for microelectronic fabrication Laser removal of oxides and particles from copper surfaces for microelectronic fabrication J. M. Lee and K. G. Watkins Laser Group, Department of Engineering, University of Liverpool Brownlow Street, Liverpool

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

Studies on Atmospheric Non-Thermal Plasma Jet Device

Studies on Atmospheric Non-Thermal Plasma Jet Device Int. J. New. Hor. Phys. 3, No. 1, 1-6 (2016) 1 International Journal of New Horizons in Physics http://dx.doi.org/10.18576/ijnhp/030101 Studies on Atmospheric Non-Thermal Plasma Jet Device H. A. El-sayed*,

More information

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance Copyright 2011 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoscience and Nanotechnology Vol. 11, 1 6, 2011 In-Situ Monitoring of Pattern Filling

More information

LONG-TERM SURVIVAL OF GRAZING-INCIDENCE METAL MIRRORS FOR LASER FUSION. M. S. Tillack and J. E. Pulsifer

LONG-TERM SURVIVAL OF GRAZING-INCIDENCE METAL MIRRORS FOR LASER FUSION. M. S. Tillack and J. E. Pulsifer LONG-TERM SURVIVAL OF GRAZING-INCIDENCE METAL MIRRORS FOR LASER FUSION M. S. Tillack and J. E. Pulsifer UC San Diego Center for Energy Research: 9500 Gilman Drive #0417, La Jolla, CA, 92093-0417, mtillack@ucsd.edu

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information.

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information. 1 Introduction 1.1 Overview of MEMS fabrication Microelectromechanical systems (MEMS) fabrication developed out of the thin-film processes first used for semiconductor fabrication. To understand the unique

More information

Modification of Glass Surface by Atmospheric Pressure Plasma

Modification of Glass Surface by Atmospheric Pressure Plasma WDS'7 Proceedings of Contributed Papers, Part II, 124 128, 27. ISBN 978-8-7378-24-1 MATFYZPRESS Modification of Glass Surface by Atmospheric Pressure Plasma T. Homola, A. Buček, A. Zahoranová Comenius

More information

Reliability of Fine-Line Thick-Film and LTCC Conductors at High-Temperature Operation

Reliability of Fine-Line Thick-Film and LTCC Conductors at High-Temperature Operation Reliability of Fine-Line Thick-Film and LTCC Conductors High-Temperure Operion Damian Nowak, Andrzej Dziedzic Faculty of Microsystem Electronics and Photonics, Wrocław University of Technology, Wybrzeże

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Preparation and characterization of nanostructured thermoelectric materials

Preparation and characterization of nanostructured thermoelectric materials QuickTime et un décompresseur TIFF (non compressé) sont requis pour visionner cette image. Preparation and characterization of nanostructured thermoelectric materials Laboratoire de Physique des Matériaux,

More information

average diameter = 3 nm, from PlasmaChem) was mixed in NLCs to produce QDembedded

average diameter = 3 nm, from PlasmaChem) was mixed in NLCs to produce QDembedded Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2014 Supporting information Experimental Section The blended CLC-monomer materials used to fabricate

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan Amorphous In 2 O 3 -Ga 2 O 3 -ZnO Thin Film Transistors and Integrated Circuits on Flexible and Colorless Polyimide Substrates Hsing-Hung Hsieh, and Chung-Chih Wu* Graduate Institute of Electronics Engineering,

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices Kato et al.: High-Temperature-Resistant Interconnections (1/6) [Technical Paper] High-Temperature-Resistant Interconnections Formed by Using Nickel Micro-plating and Ni Nano-particles for Power Devices

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Low Temperature Co-fired Ceramics (LTCC) Multi-layer Module Boards

Low Temperature Co-fired Ceramics (LTCC) Multi-layer Module Boards Low Temperature Co-fired Ceramics () Multi-layer Module Boards Example: Automotive Application Example: Communication Application Murata's Low Temperature Co-fired Ceramics offer highly integrated substrates

More information

Plasmonics using Metal Nanoparticles. Tammy K. Lee and Parama Pal ECE 580 Nano-Electro-Opto-Bio

Plasmonics using Metal Nanoparticles. Tammy K. Lee and Parama Pal ECE 580 Nano-Electro-Opto-Bio Plasmonics using Metal Nanoparticles Tammy K. Lee and Parama Pal ECE 580 Nano-Electro-Opto-Bio April 1, 2007 Motivation Why study plasmonics? Miniaturization of optics and photonics to subwavelength scales

More information

Preprint - Mechatronics 2008, Le Grand-Bornand, France, May

Preprint - Mechatronics 2008, Le Grand-Bornand, France, May Potentialities of piezoresistive cantilever force sensors based on free standing thick films Hélène Debéda(*), Isabelle Dufour, Patrick Ginet, Claude Lucat University of Bordeaux 1, IMS Laboratory, 51

More information

Barix Multilayers: a Water and Oxygen Barrier for Flexible Organic Electronics. Robert Jan Visser

Barix Multilayers: a Water and Oxygen Barrier for Flexible Organic Electronics. Robert Jan Visser Barix Multilayers: a Water and Oxygen Barrier for Flexible Organic Electronics Robert Jan Visser Organic Electronics Is the Future of Electronics Organic? MIT Stanford UC Berkeley Nano Forum Vitex Systems,

More information

Introduction of CSC Pastes

Introduction of CSC Pastes Introduction of CSC Pastes Smart Phones & Conductive Pastes Chip Varistors Chip Inductors LC Filters Flexible Printed Circuit Boards Electronic Molding Compounds ITO Electrodes PCB Through Holes Semiconductor

More information

11.3 Polishing with Laser Radiation

11.3 Polishing with Laser Radiation 196 E. Willenborg 11.3 Polishing with Laser Radiation Edgar Willenborg The surface roughness of a part or product strongly influences its properties and functions. Among these can be counted abrasion and

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Qswitched lasers are gaining more interest because of their ability for various applications in remote sensing, environmental monitoring, micro

Qswitched lasers are gaining more interest because of their ability for various applications in remote sensing, environmental monitoring, micro 90 Qswitched lasers are gaining more interest because of their ability for various applications in remote sensing, environmental monitoring, micro machining, nonlinear frequency generation, laserinduced

More information

Surface Coating of Tungsten Carbide by Electric Exploding of Contact

Surface Coating of Tungsten Carbide by Electric Exploding of Contact Surface Coating of Tungsten Carbide by Electric Exploding of Contact Evgeny G. Grigoryev General Physics Department, Moscow Engineering Physics Institute, Kashirskoe sh. 31, Moscow, 115409, Russia Abstract.

More information

Electrical Conduction Properties of SiC Modified by Femtosecond Laser

Electrical Conduction Properties of SiC Modified by Femtosecond Laser JLMN-Journal of Laser Micro/Nanoengineering Vol. 7, No. 1, 01 Electrical Conduction Properties of SiC Modified by Femtosecond Laser Takuto Ito1, Manato Deki1, Takuro Tomita*1, Shigeki Matsuo1, Shuichi

More information