EUV Technology, Martinez, CA

Size: px
Start display at page:

Download "EUV Technology, Martinez, CA"

Transcription

1 Compact in-line EUV Laser Plasma Reflectometer for the measurement reflectivity and uniformity of EUV Lithography Mask Blank Multilayer Coatings Rupert C. C. Perera & James H. Underwood EUV Technology, Martinez, CA

2 About EUV Technology EUV Technology manufactures custom R&D instrumentation for the utilization and analysis of short wavelength electromagnetic radiation soft x-rays EUV. We specialize in metrology and calibration tools for EUV lithography. The first LPR1016 Reflectometer was purchased by EUV LLC on behalf of International SEMATECH, delivered and installed at Lawrence Livermore National Laboratory in September

3 Goal To provide a method of quality control of mask blanks by defining a process monitoring concept that meets the needs of mask blank production. Test, calibration and quality control equipment that can be installed and used on the shop floor. Accuracy sufficient to monitor and evaluate the entire coating process at the customers manufacturing facility without the mask blank ever having to leave the clean environment. Difficult to achieve high yield without fast feed back. Specifications for EUV mask blanks No printable defects Wavelength controlled to within λ ± 0.4 Å Reflectance uniform across the wafer to within 1%

4 EUV Technology offers two Reflectometer models: Model No. LPR1016: In-line Reflectometer for the Metrology of EUV Mask Blank Coatings using a Laser Plasma Source. Model No. LPR1016-FS1515: Stand-alone Reflectometer with a Clean Vacuum Robotic Sample Transfer System for the Metrology of EUV Mask Blank Coatings using a Laser Plasma Source

5 Specifications of the EUV Reflectometer Model No. LPR1016 Measurement area: Up to 200 mm diameter wafer/ 150 mm square blanks with positioning accuracy of 0.1 mm Designed to measure reflectivity at 85 degrees angle Fab compatible. Measurement spot size: less than 2 mm diameter Wavelength range: 10 to 16 nm Wavelength accuracy: nm (0.02%) Reflectivity accuracy: better than 1% compared to reflectivity standard measured at ALS Data rate: 1 point/sec or greater at 1 laser shot per point Can obtain a complete reflectance measurement in 30 seconds 120,000 shots per target change (over 3000 samples without changing the laser target). Footprint of the instrument is about 1.0 m by 1.0 m. User friendly LabView based software to control the instrument and to analyze the reflectance measurements.

6 Specifications of the EUV Reflectometer Model No. LPR1016-FS1515 Measurement area: 150 mm X 150 mm mask blanks with positioning accuracy of 0.1 mm Substrate thickness: 6 mm Footprint of the instrument is about 2.0 m by 2.0 m. Extremely reliable MESC compatible vacuum mask blank handling robot (MCBF of 10,000,000) to transfer the mask blanks from a standard container. Other specifications are same as for the Model No.LPR1016

7 Path Operating principle of our EUV Reflectometer Model No. LPR1016 Target (Au) Beam Grating Detector package MIE Laser

8 User friendly software was designed to be used in a cluster tool Written in LabView. Fully integrated with LDD control system. Measurement recipe is wafer selectable just like deposition recipe is. Allows both full automation and manual control.

9 Reflectometer is installed on a spare port of the LLNL LDD cluster tool to allow tight integration and automated measurement Reflectometer (top view) Wafer position during deposition Load lock (side-view) Robot Chuck Top view Mo/Si target Load-lock vacuum chamber Robot Ion gun Can measure one wafer while the next is coated. Automated measurement of every blank possible. Small footprint, self contained system: Fab compatible.

10 Integration of LPR 1016 with the LLNL LDD cluster tool The LPR is compact. It contains two sub-systems Top - The reflectometer Bottom - Mask inspection enclosure Measurements at fixed near-normal incidence only Scanning capability across substrate using translation stage Particles/debris mitigated by optical design, baffles and filters

11 EUV Reflectometer Model No. LPR1016 reflectance measurements agree with the ALS: 70% Reflectance 60% 50% 40% 30% 20% 10% 20 LPR scans ALS Measurement area: 200 mm Data rate about 2 points/sec Data to be obtained at 1 laser shot per point Less than 30 sec per measurement. 0% Wavelength (Angstrom)

12 Recent results from LPR1016: 72 consecutive multilayer reflectivity measurements - P. Kearney (LLNL) 10/ LPR measurements ALS Measurement Wavelength (A) Reflectance

13 Only EUV reflectivity measurement facility in the world clean enough for mask blanks wafers do not leave the clean environment for measurement Defect levels on wafers with both single and multiple (20 ) wavelength scans were statistically indistinguishable from samples that remained in the load-lock. They all had average added defect levels of cm -2 (1 added defect).

14 Conclusions Demonstrated performance of the commercially available inline EUV Reflectometer Model No.LPR1016 Wavelength to ± 0.1 Å Reflectance to ± 0.5% Add no printable defects Fab compatible Set up time is considerably faster (about 10 sec) Turn around time is even faster (about 5 min) Time per measurement (about 1 min) comparable to SR facilities Can be installed and used on the shop floor Laser Plasma Reflectometry is clearly a viable option for mask measurement Need SR facilities like ALS, HIT, PTB etc. to make accurate EUV measurements and for standardization. The Laser Plasma Reflectometers are now commercially available!

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV optics lifetime Radiation damage, contamination, and oxidation EUV optics lifetime Radiation damage, contamination, and oxidation M. van Kampen ASML Research 10-11-2016 Preamble Slide 2 ASML builds lithography scanners High-resolution photocopiers Copies mask pattern

More information

E-Beam Coating Technology for EUVL Optics

E-Beam Coating Technology for EUVL Optics E-Beam Coating Technology for EUVL Optics Eric Louis, Andrey Yakshin, Sebastian Oestreich, Peter Görts, Marc Kessels, Edward Maas and Fred Bijkerk Institute Rijnhuizen, Nieuwegein, The Netherlands Stephan

More information

EUV Defect Repair Strategy

EUV Defect Repair Strategy EUV Defect Repair Strategy J.H. Peters, S. Perlitz, U. Matejka, W. Harnisch, D. Hellweg, M. Weiss, M. Waiblinger, T. Bret, T. Hofmann, K. Edinger, K. Kornilov Carl Zeiss SMS / SMT 2011 International Symposium

More information

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Electron Beam Column electron gun beam on/of control magnetic deflection

More information

Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures

Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures EXTATIC WELCOME WEEK Ellie Floyd Barte, M.Sc 23 September 2017 Outline Introduction and Motivation Experiments

More information

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita EUVL R&D Program in NewSUBARU University of Hyogo Hiroo Kinoshita NewSUBARU Synchrotron Facilities Reflectometer (BL10) IL tool Outgas measu rment system Interference Lithography & New Resist evaluation

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/9/2018 Abstract This paper outlines a design for an EUV transmission lens comprising blazed, phase-

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

EUVL Mask Defect Strategy

EUVL Mask Defect Strategy EUVL Mask Defect Strategy EUV Mask March 4, 2002, Santa Clara, CA Alan Stivers, Ted Liang, Barry Lieberman, Pei-yang Yan, Fu-Chang Lo Intel Corporation, Santa Clara, CA USA Outline Introduction Overall

More information

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Introduction Guillermo Acosta, Dr. David Allred, Dr, Steven Turley Brigham Young University

More information

ise J. A. Woollam Ellipsometry Solutions

ise J. A. Woollam Ellipsometry Solutions ise J. A. Woollam Ellipsometry Solutions Capabilities The ise is a new in-situ spectroscopic ellipsometer developed for real-time monitoring of thin film processing. Using our proven technology, the ise

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

At wavelength characterization of EUV and soft X-ray gratings

At wavelength characterization of EUV and soft X-ray gratings At wavelength characterization of EUV and soft X-ray gratings F. Scholze, A, Haase, C. Laubis, V. Soltwisch, J. Wernecke, M. Krumrey Physikalisch-Technische Bundesanstalt, Abbestraße 2-12, 10587 Berlin,

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/16/2018 http://vixra.org/abs/1807.0188 Abstract This paper outlines a design for an EUV transmission

More information

Droplet-based EUV LPP Source for High Volume Metrology

Droplet-based EUV LPP Source for High Volume Metrology Droplet-based EUV LPP Source for High Volume Metrology, N. Gambino, A. Z. Giovannini, M. Brandstätter, D. Hudgins, A. Sanders, K. Hertig, F. Alickaj and Reza S. Abhari Swiss Federal Institute of Technology

More information

Platypus Gold Coated Substrates. Bringing Science to the Surface

Platypus Gold Coated Substrates. Bringing Science to the Surface Platypus Gold Coated Substrates Bringing Science to the Surface Overview Gold Coated Substrates - Gold Coating Introduction - Glossary of Terms - Gold Coating Methods - Critical Features Platypus Gold

More information

Bruker AXS D8 FABLINE. X-Ray Metrology Solutions. think forward

Bruker AXS D8 FABLINE. X-Ray Metrology Solutions. think forward Bruker AXS D8 FABLINE X-Ray Metrology Solutions think forward XRD & µxrf D8 FABLINE Metrology for Semiconductor Manufacturing The functional units of semiconductor and compound semiconductor devices shrink

More information

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography U. Stamm, I. Ahmad, I. Balogh, H. Birner, D. Bolshukhin, J. Brudermann, S. Enke, F. Flohrer, K. Gäbel, S. Götze, G. Hergenhan,

More information

Effects of Thin Film Depositions on the EUV mask Flatness

Effects of Thin Film Depositions on the EUV mask Flatness Effects of Thin Film Depositions on the EUV mask Flatness Kyoung-Yoon Bang, Jinback Back, Hwan-Seok Seo, Dongwan Kim, DongHoon Chung, SeongSue Kim, Sang-Gyun Woo, and HanKu Cho Photomask Team Semiconductor

More information

FEL Irradiation Tolerance of Multilayer Optical System

FEL Irradiation Tolerance of Multilayer Optical System FEL Irradiation Tolerance of Multilayer Optical System Satoshi Ichimaru, Masatoshi Hatayama NTT Advanced Technology Corporation 1. Introduction 2. Damage formation - Thermal process vs Non-thermal process

More information

ALD systems and SENTECH Instruments GmbH

ALD systems and SENTECH Instruments GmbH ALD systems and processes @ SENTECH Instruments GmbH H. Gargouri, F. Naumann, R. Rudolph and M. Arens SENTECH Instruments GmbH, Berlin www.sentech.de 1 2 Agenda 1. Company Introduction 2. SENTECH-ALD-Systems

More information

Si DRIE APPLICATION In Corial 210IL

Si DRIE APPLICATION In Corial 210IL Si DRIE APPLICATION In Corial 210IL CORIAL 210IL ICP-RIE equipment for deep Si etching applications Enlarged functionality with capability to deep etch silicon, silicon carbide, glass, sapphire, and quartz

More information

Laser Produced Plasma for Production EUV Lithography

Laser Produced Plasma for Production EUV Lithography TRW / Cutting Edge Optronics Laser Produced Plasma for Production EUV Lithography EUVL Source Workshop October 29, 2001 TRW/CEO Laser-Produced Plasma (LPP) EUV Source Development and Commercialization

More information

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Association of Super-Advanced Electronics Technologies (ASET) EUV Process Technology Research Laboratory Takeo Hashimoto and Iao Nishiyama

More information

Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography

Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography Lawrence Livermore National Laboratory Regina Soufli, Sherry L. Baker, Jeff C. Robinson (LLNL) Eric M. Gullikson

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

Polarizing and non-polarizing mirrors in far UV for the Hydrogen Lyman-α radiation (λ = 121.6nm)

Polarizing and non-polarizing mirrors in far UV for the Hydrogen Lyman-α radiation (λ = 121.6nm) UVX 1 (11) 169 173 DOI: 1.151/uvx/1123 C Owned by the authors, published by EDP Sciences, 11 Polarizing and non-polarizing mirrors in far UV for the Hydrogen Lyman-α radiation (λ = 121.6nm) F. Bridou,

More information

Bragg diffraction using a 100ps 17.5 kev x-ray backlighter and the Bragg Diffraction Imager

Bragg diffraction using a 100ps 17.5 kev x-ray backlighter and the Bragg Diffraction Imager LLNL-CONF-436071 Bragg diffraction using a 100ps 17.5 kev x-ray backlighter and the Bragg Diffraction Imager B. R. Maddox, H. Park, J. Hawreliak, A. Comley, A. Elsholz, R. Van Maren, B. A. Remington, J.

More information

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin Dr. Frank Schmidt The Company Company Private company, founded 1990 80 employees ISO 9001 Location Science & Technology Park,

More information

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial?

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial? It s very small world Electronics and Microelectronics AE4B34EM 9. lecture IC processing technology Wafer fabrication Lithography How to get 1 000 000 000 Components to 1 cm 2 Human hair on the surface

More information

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Accelerating the next technology revolution Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Il-Yong Jang 1, Arun John 1, Frank Goodwin

More information

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber

More information

Low energy electron bombardment induced surface contamination of Ru mirrors

Low energy electron bombardment induced surface contamination of Ru mirrors Low energy electron bombardment induced surface contamination of Ru mirrors A. Al-Ajlony a, A. Kanjilal a, M. Catalfano a,s. S. Harilal a, A. Hassanein a and B. Rice b a Center for Materials Under Extreme

More information

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion Debbie Gustafson ENERGETIQ 7/16/2009 Agenda Background on the EQ-10 Electrodeless Z-Pinch TM EUV source Detailed characterization of the

More information

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer Analysis of Oxide Thickness Measurement Techniques of SiO2: Nanometrics Nanospec Reflectometer and Color Chart Eman Mousa Alhajji North Carolina State University Department of Materials Science and Engineering

More information

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning Christopher G. Morgan, David Varley, Ewa Kosmowska, and Ronald Vane XEI Scientific, Inc., Redwood City, CA,

More information

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Eric Louis 1, Igor Makhotkin 1, Erwin Zoethout 1, Stephan Müllender 2 and Fred Bijkerk 1,3 1 FOM Institute for Plasma Physics

More information

Uranium Oxide as a Highly Reflective Coating from ev

Uranium Oxide as a Highly Reflective Coating from ev Uranium Oxide as a Highly Reflective Coating from 15-35 ev Richard L. Sandberg, David D. Allred*, Luke J. Bissell, Jed E. Johnson, R. Steven Turley Department of Physics and Astronomy, Brigham Young University,

More information

EUV Masks: Remaining challenges for HVM

EUV Masks: Remaining challenges for HVM EUV Masks: Remaining challenges for HVM Pawitter Mangat June 13, 2013 EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting infrastructure HVM Storage Mask Lifetime Mask in-fab

More information

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG)

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) Optical Coatings Photonics 4 Luxury Coatings 21.06.2017, Genève Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) RhySearch The Research- and Innovation Center in the Rhine Valley RhySearch

More information

BAK. Evaporator Family

BAK. Evaporator Family BAK Evaporator Family The BAK A new generation Taking the best from the past, expanding your horizons for the future! More than 1500 systems worldwide 2 BAK Evaporator Family more choice than ever Welcome

More information

Previous Lecture. Vacuum & Plasma systems for. Dry etching

Previous Lecture. Vacuum & Plasma systems for. Dry etching Previous Lecture Vacuum & Plasma systems for Dry etching Lecture 9: Evaporation & sputtering Objectives From this evaporation lecture you will learn: Evaporator system layout & parts Vapor pressure Crucible

More information

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 531 536 2015SPST Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Masaki

More information

Electron Beam Induced Processes and their Applicability to Mask Repair

Electron Beam Induced Processes and their Applicability to Mask Repair & Electron Beam Induced Processes and their Applicability to Mask Repair Hans W.P. Koops (1), Volker Boegli (1), Klaus Edinger (1), Johannes Bihr (2), Jens Greiser (2), (1) NaWoTec GmbH Rossdorf Germany

More information

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS Roxann L. Engelstad, Venkata Siva R. Battula, Pradeep Vukkadala, Andrew R. Mikkelson, Madhura Nataraju, and Kevin T. Turner Computational

More information

BAK EVAPORATOR FAMILY

BAK EVAPORATOR FAMILY BAK EVAPORATOR FAMILY THE BAK A NEW GENERATION TAKING THE BEST FROM THE PAST, EXPANDING YOUR HORIZONS FOR THE FUTURE! The BAV 2000 - a production giant 2000 BAK SYSTEMS DELIVERED WORLDWIDE 2 BAK EVAPORATOR

More information

Mass Production of Clear Barriers. Requirements on Vacuum Web Coaters for Quality Assurance. Rainer Ludwig, Applied Films, Alzenau, Germany.

Mass Production of Clear Barriers. Requirements on Vacuum Web Coaters for Quality Assurance. Rainer Ludwig, Applied Films, Alzenau, Germany. Mass Production of Clear Barriers Requirements on Vacuum Web Coaters for Quality Assurance Rainer Ludwig, Applied Films, Alzenau, Germany Abstract An increasing number of packages using Transparent Barrier

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Atomic Layer Deposition (ALD)

Atomic Layer Deposition (ALD) Atomic Layer Deposition (ALD) ALD provides Uniform, controlled, conformal deposition of oxide, nitride, and metal thin films on a nanometer scale. ALD is a self limiting thin film deposition technique

More information

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute in Göttingen, Germany, using a freestanding, 100nm-period

More information

The effect of Mo crystallinity on diffusion through the Si-on-Mo interface in EUV multilayer systems

The effect of Mo crystallinity on diffusion through the Si-on-Mo interface in EUV multilayer systems Defect and Diffusion Forum Online: 2009-03-02 ISSN: 1662-9507, Vols. 283-286, pp 657-661 doi:10.4028/www.scientific.net/ddf.283-286.657 2009 Trans Tech Publications, Switzerland The effect of Mo crystallinity

More information

U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006

U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006 U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006 Barcelona, Spain Tom Lucatorto 1 SEMATECH Coordinated Projects - 2006 Fundamental surface chemistry and physics: relevance

More information

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools Eric Cotte a *, Uwe Dersch a, Christian Holfeld a, Uwe Mickan b, Holger Seitz c, Thomas Leutbecher c, and Günter Hess c

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Water Laser Plasma X-Ray Point Source and Apparatus

Water Laser Plasma X-Ray Point Source and Apparatus University of Central Florida UCF Patents Patent Water Laser Plasma X-Ray Point Source and Apparatus 10-17-1995 Martin Richardson University of Central Florida Kai Gabel University of Central Florida Feng

More information

Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of

Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of 1968 Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of Science 2005 Development of Jet 1 Demo EUV Source

More information

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Jhon F. Londoño, Kurt E. Williams, Adrian J. Devasahayam Veeco Instruments Inc. Plainview, New York U.S.A Figure

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

AMOLED DISPLAY PROTOTYPING SYSTEM CLUSTER TYPE FMM TECHNOLOGY

AMOLED DISPLAY PROTOTYPING SYSTEM CLUSTER TYPE FMM TECHNOLOGY IIT Madras NOVEMBER 2, 2018 SPECIFICATIONS DOCUMENT IITM_FMM_01 AMOLED DISPLAY PROTOTYPING SYSTEM CLUSTER TYPE FMM TECHNOLOGY Department of Electrical Engineering, IIT MADRAS Contents 1. Cluster-Style

More information

Status of EUVL mask development in Europe

Status of EUVL mask development in Europe Status of EUVL mask development in Europe Jan Hendrik Peters * Advanced Mask Technology Center, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT EUV lithography is the prime candidate for the next generation

More information

High-power DPP EUV source development toward HVM

High-power DPP EUV source development toward HVM High-power DPP EUV source development toward HVM Yusuke Teramoto, Zenzo Narihiro, Daiki Yamatani, Takuma Yokoyama, Kazunori Bessho, Yuki Joshima, Takahiro Shirai, Shinsuke Mouri, Takahiro Inoue, Hiroshi

More information

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea EUVL Activities in Korea Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea Who are interested in EUVL? Device manufacturer and material supplier -Samsung : NAND Flash,

More information

Interface quality and thermal stability of laser-deposited metal MgO multilayers

Interface quality and thermal stability of laser-deposited metal MgO multilayers Interface quality and thermal stability of laser-deposited metal MgO multilayers Christian Fuhse, Hans-Ulrich Krebs, Satish Vitta, and Göran A. Johansson Metal MgO multilayers metal of Fe, Ni 80 Nb 20,

More information

Supporting Information for

Supporting Information for Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supporting Information for Large-Scale Freestanding Nanometer-thick Graphite Pellicle for Mass

More information

High Performance Optical Coatings Deposited Using Closed Field Magnetron Sputtering

High Performance Optical Coatings Deposited Using Closed Field Magnetron Sputtering High Performance Optical Coatings Deposited Using Closed Field Magnetron Sputtering D.R. Gibson, I.T. Brinkley, and J.L. Martin Applied Multilayers LLC, 1801 SE Commerce Avenue, Battle Ground, WA 98604

More information

Masks for Extreme Ultraviolet

Masks for Extreme Ultraviolet -,,I..^ UCRL-JC-131546 PREPRINT Masks for Extreme Ultraviolet Lithography S. P. Vernon, P. A. Kearney, W. Tong, S. Prisbrey, C. Larson, C. E. Moore, F. Weber, G. Cardinale, P-Y. Yan, S. Hector This paper

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Power Vision Ltd. PV Research. Power Vision Ltd. Unit R2, Herald Park, Crewe, Cheshire, CW1 6EA, UK Tel:

Power Vision Ltd. PV Research. Power Vision Ltd. Unit R2, Herald Park, Crewe, Cheshire, CW1 6EA, UK   Tel: Power Vision Ltd PV Research Power Vision Ltd Unit R2, Herald Park, Crewe, Cheshire, CW1 6EA, UK www.pvoptical.com Tel: +44 1270 253000 Flexible Whether it be fast AR coating onto temperature sensitive

More information

Wavelength Dispersive XRF Spectrometer

Wavelength Dispersive XRF Spectrometer ISO9001 ISO14001 for Wafers, Media Disks, and Large Samples Wavelength Dispersive XRF Spectrometer ADVANCED XRF For Large and Irregularly Shaped Samples Is there any solution to analyze a large sample

More information

Corrosion-resistant multilayer coatings for the nm wavelength region

Corrosion-resistant multilayer coatings for the nm wavelength region LLNL-PROC-512881 Corrosion-resistant multilayer coatings for the 28-75 nm wavelength region R. Soufli, M. Fernandez-Perea, E. T. Al November 10, 2011 2011 International Workshop on EUV and Soft X-Ray Soirces

More information

Thermo Scientific ARL EQUINOX X-ray Diffractometers

Thermo Scientific ARL EQUINOX X-ray Diffractometers Thermo Scientific ARL EQUINOX 1000 X-ray Diffractometers High performance in a compact size Thermo Scientific ARL EQUINOX 1000 X-ray diffractometer (XRD) is designed to meet structural and phase analysis

More information

Grazing-Incidence Metal Mirrors for Laser-IFE

Grazing-Incidence Metal Mirrors for Laser-IFE Grazing-Incidence Metal Mirrors for Laser-IFE M. S. Tillack, J. E. Pulsifer, K. L. Sequoia J. F. Latkowski, R. P. Abbott 21-22 March 2005 US-Japan Workshop on Laser IFE San Diego, CA The final optic in

More information

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules TFS PRODUCTION SOLUTIONS TFS Production Solutions For thin-film solar modules 2 TFS PRODUCTION SOLUTIONS MANZ AG 3 TFS PRODUCTION SOLUTIONS MANZ AG GERMAN ENGINEERING INTERNATIONALLY STAGED GLOBAL REFERENCES

More information

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers Anti-Reflection Custom Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition Anti-Reflection on Optical Fibers OptoSigma supplies a wide selection of optical

More information

Corrosion-resistant multilayer coatings for the nm wavelength region

Corrosion-resistant multilayer coatings for the nm wavelength region Corrosion-resistant multilayer coatings for the 25-75 nm wavelength region Lawrence Livermore National Laboratory LLNL- PROC- 512881 2011 International Workshop on EUV and Soft X-ray Sources, University

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

Welcome to Today s Webinar

Welcome to Today s Webinar Welcome to Today s Webinar Best Practices for Creating Strong Bonds using UV LED Curing & Plasma Treatment Today s Presenters Mike Kay Director of Product Management Excelitas Ryan Schuelke Vice President

More information

Micro-Nano Fabrication Research

Micro-Nano Fabrication Research Micro-Nano Fabrication Research Technical Education Quality Improvement Programme 22-23 December 2014 Dr. Rakesh G. Mote Assistant Professor Department of Mechanical Engineering IIT Bombay rakesh.mote@iitb.ac.in;

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery

Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery Carlos M. Bledt * a, Daniel V. Kopp a, and James A. Harrington a

More information

Prometheus-L Reactor Building Layout

Prometheus-L Reactor Building Layout Prometheus-L Reactor Building Layout Two Main Options for the Final Optic (1) SiO 2 or CaF 2 wedges 85 40 cm stiff, lightweight, actively cooled, neutron transparent substrate 4.6 m (2) Grazing incidence

More information

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants Damage Threats and Response of Final Optics for Laser-Fusion Power Plants M. S. Tillack 1, S. A. Payne 2, N. M. Ghoniem 3, M. R. Zaghloul 1 and J. F. Latkowski 2 1 UC San Diego, La Jolla, CA 92093-0417

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Journal of Optoelectronics and Advanced Materials Vol. 4, No. 3, September 2002, p. 809-812 MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Foundation for Research

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

Endpoint Detection of Low Open Area Contact Nitride Etches by Use of Optical Emission Spectroscopy in an APC Compatible Multi-Sensor Platform

Endpoint Detection of Low Open Area Contact Nitride Etches by Use of Optical Emission Spectroscopy in an APC Compatible Multi-Sensor Platform Endpoint Detection of Low Open Area Contact Nitride Etches by Use of Optical Emission Spectroscopy in an APC Compatible Multi-Sensor Platform AEC/APC Asia 2005 Bernard KAPLAN, Eric BLUEM (HORIBA Jobin

More information

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION Uwe Dietze Davide Dattilo SUSS MicroTec OUTLINE Background EUVL Mask Life Time Concerns Potential Root Causes for Ru Damage Solutions & Mitigation

More information

Chapter 7 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER

Chapter 7 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER Chapter 7 66 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER 7.1 Introduction In recent years, polymer dye lasers have attracted much attention due to their low-cost processing, wide choice

More information

Hexagon Metrology 360 SIMS

Hexagon Metrology 360 SIMS product brochure Hexagon Metrology 360 SIMS Smart Inline Measurement Solutions fast, rich and actionable process control Hexagon Metrology 360 SIMS. Smart Inline Measurement Solutions. Hexagon Metrology

More information

BAK EVAPORATOR FAMILY

BAK EVAPORATOR FAMILY BAK EVAPORATOR FAMILY THE BAK A NEW GENERATION TAKING THE BEST FROM THE PAST, EXPANDING YOUR HORIZONS FOR THE FUTURE! The BAV 2000 - a production giant 2000 BAK SYSTEMS DELIVERED WORLDWIDE 2 BAK EVAPORATOR

More information

Raman Spectroscopy Measurement System and Data Analysis for Characterization of Composite Overwrapped Pressure Vessels (COPVs)

Raman Spectroscopy Measurement System and Data Analysis for Characterization of Composite Overwrapped Pressure Vessels (COPVs) Raman Spectroscopy Measurement System and Data Analysis for Characterization of Composite Overwrapped Pressure Vessels (COPVs) Phillip A. Williams and Buzz Wincheski Nondestructive Evaluation Sciences

More information

UCF Physics Shared Facilities

UCF Physics Shared Facilities UCF Physics Shared Facilities What can we do? Wafer-scale fabrication including MEMS, optoelectronics, nano-photonics, semiconductor devices, microfluidics, etc. User facility Open to campus and community

More information

MODEL NanoMill TEM Specimen Preparation System. Ultra-low-energy, inert-gas ion source. Concentrated ion beam with scanning capabilities

MODEL NanoMill TEM Specimen Preparation System. Ultra-low-energy, inert-gas ion source. Concentrated ion beam with scanning capabilities MODEL 1040 NanoMill TEM Specimen Preparation System The NanoMill system uses an ultra-low energy, concentrated ion beam to produce the highest quality specimens for transmission electron microscopy. Ultra-low-energy,

More information

EUV Source Supplier Update, Gigaphoton

EUV Source Supplier Update, Gigaphoton EUV Source Supplier Update, Gigaphoton Hakaru Mizoguchi EUV Source Workshop 6 May, 2007 Baltimore, MD, USA Acknowledgments A part of this work was performed under the management of EUVA in the NEDO's R&D

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

2005 ANNUAL REPORT 2005 ANNUAL REPORT

2005 ANNUAL REPORT 2005 ANNUAL REPORT Enabling Semiconductor Productivity 2005 ANNUAL REPORT 2005 ANNUAL REPORT INCREASING NEED FOR PROCESS CONTROL Growing demand for content-intensive personal technologies from GPS devices to MP3 players

More information