High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography

Size: px
Start display at page:

Download "High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography"

Transcription

1 High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography U. Stamm, I. Ahmad, I. Balogh, H. Birner, D. Bolshukhin, J. Brudermann, S. Enke, F. Flohrer, K. Gäbel, S. Götze, G. Hergenhan, J. Kleinschmidt, D. Klöpfel, P. Köhler, V. Korobochko, B. Mader, R. Müller, J. Ringling, G. Schriever, C. D. Tran, C. Ziener XTREME technologies, Göttingen and Jena, Germany 2 nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium funded by BMBF contract # 13N8131 and part of the MEDEA+ project T405 EUV Source Development 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 1

2 XTREME technologies: Development Strategy EUV-joint venture between Lambda Physik AG & Jenoptik LOS GmbH Mission: Development, manufacturing and marketing of EUV sources for lithography and EUV technology development gas discharge produced plasma source laser produced plasma source 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 2

3 XTREME technologies : Development Strategy Gas Discharge Produced Plasma Source single α-tool β-tool HVM technology Laser Produced Plasma Source 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 3

4 MEDEA+ EUV Source Development Program Participants: Germany* XTREME technologies (coordinator) Philips Extreme UV Jenoptik Mikrotechnik Zeiss France Alcatel CEA Thales Project content: Investigation on solutions for EUV sources including their characterization and interfacing to first generation of EUVL production tools Technology: Gas discharge produced plasma Laser produced plasma *funded by German Ministry of Education and Research (BMBF) Research topics: EUV sources for lithography EUV sources for metrology purposes (mask inspection, optics characterization, process development) Metrology for EUV source characterization 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 4

5 Outline 1. Laser Produced Plasma EUV Source Update 2. Gas Discharge Produced Plasma EUV Source XTS for Integration in EUV Microstepper 3. Performance Update High Power Gas Discharge Produced Plasma EUV Sources 4. Summary and Roadmap For results with tin see V. Borisov et al., Session Source 2, Wednesday 01 Oct. 03, 16:35, this conference 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 5

6 Most Critical Tasks in Source Development today 1. Increase optics lifetime / reduce debris - by orders of magnitude - HVM requirement > pulses at > 7,000 Hz 2. Increase power / improve efficiency HVM requirement 115 W in focus 3. Keep the heat-load (per area) manageable / improve cooling / increase components lifetime - by orders of magnitude - HVM requirement > pulses at > 7,000 Hz 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 6

7 Calibrated EUV Source Metrology Characterization of LPP and GDPP EUV sources is done by standard source diagnostics is calibrated at Bessy and/or cross calibrated at XTREME s EUV sources and comprises: Energy/ energy stability/ power monitor Pinhole camera EUV spectrometer EUV energy monitor E-Mon EUV pinhole camera EUV spectrometer 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 7

8 Laser Produced Plasma EUV Sources 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 8

9 LPP EUV Sources 4 source target systems are currently operated to address different research topics development of: injection systems gas & cooling systems observation systems RGA & environmental studies Engineering of support systems Stable jet-targets generated with 3-rd generation injection systems Droplet generation demonstrated 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 9

10 LPP EUV Sources Laser delivers up to 500 W at 10 khz in a 2x diffraction limited beam High power driver laser EUV source chamber > 2 W power in 2π sr at Etendue matched plasma size, with 5 sr source collector module > 1 W in intermediate focus Xenon target 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 10

11 LPP EUV Sources: Efficiency Optimization the highest conversion efficiency today is 0.95% optimization of coupling parameters leads to improved efficiency 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 11

12 LPP EUV Sources: EUV Energy Stability High EUV stability has been achieved with directionally stable Xe-targets EUV-energy / a.u Event probability 100 repeatability shot to shot Pulse # repeatability shot to shot EUV-energy / a.u. EUV-energy / a.u dose-stability 50 pulses moving average Time / seconds Pulse to pulse repeatability: σ (EUV energy) 4.7 % σ (Laser) 3.8 % Average CE: 0.82 % Max. CE: 0.94 % Dose stability: σ (energy dose) 0.88 % 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 12

13 LPP EUV Sources: EUV Source Dimensions EUV-Plasma dimensions Single pulse / filtered PH-camera Laser beam direction: 230 µm (1/e²) Xe-target direction: 270 µm (1/e²) 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 13

14 LPP EUV Sources: EUV Optics Lifetime Data Witness plate reflectivity is monitored during exposure / plate is analyzed afterwards MLmirror Plasma Photo diode Zr-foil h [nm] Target chamber abgedeckter Bereich große Blende kleine Blende kleine Exposure Blende 2 Exposure 1 große Blende Shadow abgedeckter Bereich Si Mo Si Mo Si Mo Si Mo Si Mo Si Mo Si b [µm] Life an EUV-inband energy of 0.6mJ: - 4* mbar (erosion of 10 multi layers) - with buffer gas up to 10 7 pulses do not cause any erosion at all 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 14

15 LPP EUV Sources: Power Upgrade MOPA + pre-amp + two identical 4 head power-amp chains > 1.3 kw, almost diffraction limited, short-ns pulses Target chamber for 1.5 kw laser 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 15

16 Gas Discharge Produced Plasma EUV Source XTS for Integration in EUV Microstepper 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 16

17 Gas Discharge Produced Plasma Z-Pinch EUV Source Principle Z-Pinch with pre-ionization surface discharge discharge unit Working gas Xenon Liquid cooling gas flow preionization unit plasma Interface to application and pumping unit Input energy up to 10 J/pulse z-axis cathode anode Highly stable output C0 L1 L2 Power supply C1 C2 switch 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 17

18 GDPP EUV Source XTS for EUV Microstepper EUV OUTPUT POWER / W/2%bandwidth solid angle 2π sr 1.8 sr EUV sources shipped Source power > 35 W in 2π solid angle REPETITON RATE / Hz Control / power supply unit EUV source head Diagnostic / interfacing vacuum chamber Pulsed power / gas supply More than 10 Gas Discharge Produced Plasma EUV sources have been built 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 18

19 GDPP EUV Source XTS for EUV Microstepper GDPP Sources XTS delivered to EXITECH, Oxford, UK for integration into Micro Exposure Tool MS-13 Equipped with debris mitigation and integrated with collector optics from Zeiss, GER Use for process development at International SEMATECH, USA See also poster # 170, Philipp H. Grünewald et al., EXITECH, Microstepper for EUV Lithography, and Poster #56, Udo Dinger et al., Carl Zeiss SMT, Fabrication and Metrology of Diffraction Limited Soft X-ray Optics for EUV Lithography 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 19

20 GDPP EUV Source XTS for EUV Microstepper EUV spectrum from Xtreme source (XTS 13-35) Spectral intensity [arb. units] 1,0 0,8 0,6 0,4 0,2 0, Spectral intensity [arb. units] 12,0 12,5 13,0 13,5 14,0 14,5 15,0 Wavelength [nm] Spectral distribution after Mo/Si multilayer mirror reflection Wavelength [nm] Intensity of 13.5 nm lines comparable to 11 nm lines Overall conversion efficiency (EUV output in 2π sr / stored electrical energy): > 0.55 % 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 20

21 XTREME s GDPP Source Component Lifetime Component EUV in-band Lifetime power versus > 100 Million pulses Pulses at 1000 Hz at > 35 mj / pulse and > 35 W power EUV Power [W / 2π sr] With power stabilization simulation EUV Source XTS Lifetime increase because of progress in Plasma wall distance increase Number of Pulses / Million 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 21

22 Lifetime Limits - Calculated Electrode Temperature T / K Evaporation due to deposited energy T melt (Tungsten) 3650 K T max 1000 Parameters: 500 Deposited energy 0 Tungsten layer thickness Cooling temperature Repetition Rate t / microseconds 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 22

23 Lifetime Limits - Calculated Electrode Mass Loss mass loss/shot / arb. Units Measured Calculated Evaporation due to deposited energy Repetition rate ( khz) 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 23

24 Lifetime Limits - Calculated Electrode Lifetime 1.00E+11 electrode lifetime (shots) 1.00E E E E+07 Evaporation due to deposited energy Plasma wall distance 3d Plasma wall distance d Plasma wall distance d/3 1.00E Repetition rate / khz 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 24

25 Debris and Optics Lifetime Debris Fast particles (ions, atoms) from plasma have dominant effect on optics lifetime today - lead to sputtering Material from electrodes (GDPP) or nozzle (LPP) is currently negligible but will become of importnce in future Debris aggressivity similar for GDPP and LPP Debris filter 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 25

26 Optics Lifetime On-line Measurements with Grazing Incidence Optics Turbopump Layer sensor monitor installed Source Source point Debris filter Oscilloscope Grazing incidence mirror sample Ref-EM EM Measures change in mass due to sputtering and/or deposition On-line reflectivity measurement with energy monitor (EM) Measures change in mass due to sputtering and/or deposition Pressure Measurement Distances Source point-sample 110mm Sample-detector entrance 450mm Sample aperture 11x15mm² Entrance aperture detector 5mm 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 26

27 Debris and Source Collector Optics Lifetime Thickness of Sputtered Layer / nm Without Debris Mitigation With Debris Mitigation 0,1 1E-3 0,01 0, Number of Pulses / Million Progress in debris filter arrangement and operation: Suppression of sputtering by fast particles at surface of collector optics by approximately 5000 times < 2 nm layer sputtered of after 10 million pulses at 35 mj EUV in 2π sr 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 27

28 XTREME s GDPP Source Collector Optics Lifetime 1.4 Normalized Reflectivity Debris filter Number of Pulses / Million Optics Lifetime > 50 Million pulses at 35 mj / pulse 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 28

29 Performance Update High Power Gas Discharge Produced Plasma EUV Source Development 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 29

30 Cooling Improvement for Z-Pinch GDPP Source Coolant: water Standard cooling design (09/01) (cooling fins) Maximum cooling capabilities: Anode 4.4 kw Cathode 2.6 kw Total cooling power 5.2 kw Cathode Ceramic insulator Anode Improved cooling design (10/03) (porous metal) Maximum cooling capabilities: Anode 7.9 kw Cathode 7.6 kw Total cooling power 15.2 kw 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 30

31 XTREME s GDPP EUV Source Power Improvement 120 W CONTINUOUS OPERATION 1.5 mm 0.5 mm Chuck Gwyns optimistic estimate at this conference: 207 W continuous Power in 2π sr are needed! 120 W power in 2π sr at Etendue matched plasma size > 10 - > 20 W in intermediate focus assuming % collector module efficiency 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 31

32 Power improvement of XTREME s GDPP sources Collectable EUV in-band power / W/2πsr Z-pinch PLEX FCI measurements CONTINUOUS OPERATION Power out of plasma volume: < 1.3 mm x 1.6 mm XTREME technologies Z-Pinch small plasma Porous metal cooling Aug 99 Mrz 00 Okt 00 Apr 01 Nov 01 Mai 02 Dez 02 Jun 03 Jan 04 date 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 32

33 Summary - LPP EUV Sources LPP EUV Sources EUV power of 2 W in 2π sr at 3300 Hz continuous operation Conversion efficiency up to 0.95% Source diameter 250 microns (1/e 2 ) Source energy stability of 5% sigma Optics lifetime (10 % reflectivity decrease) without debris mitigation is 4*10 6 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 33

34 Summary - GDPP EUV Sources XTS for EUV Microstepper EUV sources with 35 W in 2π sr at 1000 Hz delivered and under integration Optics lifetime (10 % Reflectivity decrease) with debris filter > 50 million pulses High Power GDPP EUV Sources EUV power of 120 W in 2π sr at 4000 Hz continuous operation > 10 - > 20 W in IF Conversion efficiency up to 0.55% Source dimensions 500 microns x 1500 microns (FWHM) Source energy stability of < 5% sigma 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 34

EUV Source Supplier Update, Gigaphoton

EUV Source Supplier Update, Gigaphoton EUV Source Supplier Update, Gigaphoton Hakaru Mizoguchi EUV Source Workshop 6 May, 2007 Baltimore, MD, USA Acknowledgments A part of this work was performed under the management of EUVA in the NEDO's R&D

More information

Laser Produced Plasma for Production EUV Lithography

Laser Produced Plasma for Production EUV Lithography TRW / Cutting Edge Optronics Laser Produced Plasma for Production EUV Lithography EUVL Source Workshop October 29, 2001 TRW/CEO Laser-Produced Plasma (LPP) EUV Source Development and Commercialization

More information

High-power DPP EUV source development toward HVM

High-power DPP EUV source development toward HVM High-power DPP EUV source development toward HVM Yusuke Teramoto, Zenzo Narihiro, Daiki Yamatani, Takuma Yokoyama, Kazunori Bessho, Yuki Joshima, Takahiro Shirai, Shinsuke Mouri, Takahiro Inoue, Hiroshi

More information

At wavelength characterization of EUV and soft X-ray gratings

At wavelength characterization of EUV and soft X-ray gratings At wavelength characterization of EUV and soft X-ray gratings F. Scholze, A, Haase, C. Laubis, V. Soltwisch, J. Wernecke, M. Krumrey Physikalisch-Technische Bundesanstalt, Abbestraße 2-12, 10587 Berlin,

More information

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Eric Louis 1, Igor Makhotkin 1, Erwin Zoethout 1, Stephan Müllender 2 and Fred Bijkerk 1,3 1 FOM Institute for Plasma Physics

More information

Contamination control in EUV exposure tools

Contamination control in EUV exposure tools Contamination control in EUV exposure tools Katsuhiko Murakami Noriaki Kandaka, Takashi Yamaguchi, Atsushi Yamazaki, Tsuneyuki Hagiwara, Tetsuya Oshino, Jiro Inoue and Kazuya Ota Nikon Corporation June

More information

Status of the liquid-xenon-jet laser-plasma source for EUV lithography

Status of the liquid-xenon-jet laser-plasma source for EUV lithography Status of the liquid-xenon-jet laser-plasma source for EUV lithography Björn A. M. Hansson a,b,larsrymell a, Magnus Berglund a, Oscar Hemberg a,b, Emmanuelle Janin a, Jalmar Thoresen a, Sofia Mosesson

More information

EUV Defect Repair Strategy

EUV Defect Repair Strategy EUV Defect Repair Strategy J.H. Peters, S. Perlitz, U. Matejka, W. Harnisch, D. Hellweg, M. Weiss, M. Waiblinger, T. Bret, T. Hofmann, K. Edinger, K. Kornilov Carl Zeiss SMS / SMT 2011 International Symposium

More information

E-Beam Coating Technology for EUVL Optics

E-Beam Coating Technology for EUVL Optics E-Beam Coating Technology for EUVL Optics Eric Louis, Andrey Yakshin, Sebastian Oestreich, Peter Görts, Marc Kessels, Edward Maas and Fred Bijkerk Institute Rijnhuizen, Nieuwegein, The Netherlands Stephan

More information

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Association of Super-Advanced Electronics Technologies (ASET) EUV Process Technology Research Laboratory Takeo Hashimoto and Iao Nishiyama

More information

Irradiation Effects on EUV Nanolithography Collector Mirrors

Irradiation Effects on EUV Nanolithography Collector Mirrors 19 Irradiation Effects on EUV Nanolithography Collector Mirrors J.P. Allain Purdue University United States of America 1. Introduction Exposure of collector mirrors facing the hot, dense pinch plasma in

More information

Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating

Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating Dr Tony Williams Gencoa Ltd, UK Victor Bellido-Gonzalez, Dr Dermot Monaghan, Dr Joseph Brindley, Robert Brown SVC 2016,

More information

MAGNUM-PSI, a Plasma Generator for Plasma-Surface Interaction Research in ITER-like Conditions

MAGNUM-PSI, a Plasma Generator for Plasma-Surface Interaction Research in ITER-like Conditions 1 FT/P7-21 MAGNUM-PSI, a Plasma Generator for Plasma-Surface Interaction Research in ITER-like Conditions W.J. Goedheer 1), G.J. van Rooij 1), V. Veremiyenko 1), Z. Ahmad 1), C.J. Barth 1), S. Brezinsek

More information

Electron Beam Induced Processes and their Applicability to Mask Repair

Electron Beam Induced Processes and their Applicability to Mask Repair & Electron Beam Induced Processes and their Applicability to Mask Repair Hans W.P. Koops (1), Volker Boegli (1), Klaus Edinger (1), Johannes Bihr (2), Jens Greiser (2), (1) NaWoTec GmbH Rossdorf Germany

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes, and Yuepeng Deng Department of Materials Science and Engineering University

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Small Plasma Devices

Small Plasma Devices Research on Small Plasma Devices At University of Malaya C.S. Wong Plasma Research Laboratory Physics Department, University of Malaya 50603 Kuala Lumpur, Malaysia Plasma Technology Research Group Physics

More information

High Power Operation of Cryogenic Yb:YAG. K. F. Wall, B. Pati, and P. F. Moulton Photonics West 2007 San Jose, CA January 23, 2007

High Power Operation of Cryogenic Yb:YAG. K. F. Wall, B. Pati, and P. F. Moulton Photonics West 2007 San Jose, CA January 23, 2007 High Power Operation of Cryogenic Yb:YAG K. F. Wall, B. Pati, and P. F. Moulton Photonics West 2007 San Jose, CA January 23, 2007 Outline Early work on cryogenic lasers MPS laser technology Recent program

More information

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG)

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) Optical Coatings Photonics 4 Luxury Coatings 21.06.2017, Genève Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) RhySearch The Research- and Innovation Center in the Rhine Valley RhySearch

More information

The European Cluster of Advanced Laser Light Sources

The European Cluster of Advanced Laser Light Sources The European Cluster of Advanced Laser Light Sources Graham Appleby Project Coordinator The European Cluster of Advanced Laser Light Sources 2 Light Sources in Europe Accelerator-based RIs (SR, FEL) Successful

More information

Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA

Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA Transmission Electron Microscope A transmission electron microscope, similar to a transmission light microscope, has the following components along

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD

Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD AIMCAL 2005 Myrtle Beach, SC, USA, October 19th, 2005 Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD E. Reinhold, C. Steuer VON ARDENNE Anlagentechnik GmbH, Dresden, Germany

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM EOSS ENHANCED OPTICAL SPUTTERING SYSTEM Fraunhofer IST, Braunschweig Contact: Dr. M. Vergöhl +49 531 2155 640 EOSS Coating System

More information

Next Generation Source Power Requirements. Erik R. Hosler

Next Generation Source Power Requirements. Erik R. Hosler Next Generation Source Power Requirements Erik R. Hosler What will we need at the 3 nm node and beyond? Can laser produced plasma sources continue the roadmap? Needs to future EUV manufacturing Lithography

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Advances in Intense Pulsed Light Solutions For Display Manufacturing. XENON Corporation Dr. Saad Ahmed Japan IDW 2016

Advances in Intense Pulsed Light Solutions For Display Manufacturing. XENON Corporation Dr. Saad Ahmed Japan IDW 2016 Advances in Intense Pulsed Light Solutions For Display Manufacturing XENON Corporation Dr. Saad Ahmed Japan IDW 2016 Talk Outline Introduction to Pulsed Light Applications in Display UV Curing Applications

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Characterization of laser-material interaction during laser cladding process P.-A. Vetter,* J. Fontaine,* T. Engel," L. Lagrange,& T.

Characterization of laser-material interaction during laser cladding process P.-A. Vetter,* J. Fontaine,* T. Engel, L. Lagrange,& T. Characterization of laser-material interaction during laser cladding process P.-A. Vetter,* J. Fontaine,* T. Engel," L. Lagrange,& T. Marchione^ f^, BID de /a rzcfozre ^7000 France ABSTRACT The interaction

More information

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers Anti-Reflection Custom Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition Anti-Reflection on Optical Fibers OptoSigma supplies a wide selection of optical

More information

X-RAY EMISSION IN THE HIGH-CURRENT GLOW DISCHARGE EXPERIMENTS

X-RAY EMISSION IN THE HIGH-CURRENT GLOW DISCHARGE EXPERIMENTS Karabut, A.B. X-ray emission in the high-current glow discharge experiments. in The 9th International Conference on Cold Fusion, Condensed Matter Nuclear Science. 2002. Tsinghua Univ., Beijing, China:

More information

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 531 536 2015SPST Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Masaki

More information

Physical structure of matter. Monochromatization of molybdenum X-rays X-ray Physics. What you need:

Physical structure of matter. Monochromatization of molybdenum X-rays X-ray Physics. What you need: X-ray Physics Physical structure of matter Monochromatization of molybdenum X-rays What you can learn about Bremsstrahlung Characteristic radiation Energy levels Absorption Absorption edges Interference

More information

Detectors and Coatings for Efficient Systems for Future UV Astronomy

Detectors and Coatings for Efficient Systems for Future UV Astronomy Detectors and Coatings for Efficient Systems for Future UV Astronomy John Hennessy M. E. Hoenk, A. Carver, T.J. Jones, A. Jewell, E. Hamden, T. Goodsall, S. Nikzad Jet Propulsion Laboratory, California

More information

Fig1: Melt pool size of LAMP vs. µlamp. The LAMP process s melt pool is x the area of the LAMP s melt pool.

Fig1: Melt pool size of LAMP vs. µlamp. The LAMP process s melt pool is x the area of the LAMP s melt pool. Proceedings of the 4th Annual ISC Research Symposium ISCRS 2010 April 21, 2010, Rolla, Missouri LOW COST IMAGING OF MELTPOOL IN MICRO LASER AIDED MANUFACTURING PROCESS (µlamp) ABSTRACT This paper describes

More information

Rapid Microtooling with laser based methods

Rapid Microtooling with laser based methods Hochschule Mittweida University of Applied Sciences Rapid Microtooling with laser based methods R. Ebert, U. Löschner, A. Streek, J. Schille, T. Süß, L. Hartwig, U. Klötzer, H. Exner ISL 2008 Chemnitz

More information

Neutron Detector development at the ILL Based on 3 He and alternative convertors

Neutron Detector development at the ILL Based on 3 He and alternative convertors Neutron Detector development at the ILL Based on 3 He and alternative convertors Bruno Guerard Basic principles of 3He neutron gas detectors outline The golden age of 3 He detectors (~2000 20) shown with

More information

Universität Hamburg, Hamburg, Germany. Universität Hamburg, Hamburg, Germany

Universität Hamburg, Hamburg, Germany. Universität Hamburg, Hamburg, Germany Sample Preparation, Micromagnetic Simulations, Circular-Rotational Currents, Parasitic Oersted Fields and Clover Samples (Magnetic Antivortex-Core Reversal by Circular-Rotational Spin Currents) Thomas

More information

II. NEG THIN FILM DEPOSITION

II. NEG THIN FILM DEPOSITION Deposition of Non-Evaporable Getter Thin Films and Vacuum Pumping Performances Ankit Sur Engineering Department, Wayne State University, Detroit, MI 48202 The ERL (Energy Recovery Linac) proposed at Cornell

More information

EQUIPMENT AND SYSTEM FOR VACUUM COATING METALLIZING, SPUTTERING, PLASMA and PECVD. Hybrid system KOLZER DGK 36

EQUIPMENT AND SYSTEM FOR VACUUM COATING METALLIZING, SPUTTERING, PLASMA and PECVD. Hybrid system KOLZER DGK 36 email : carlo.gennari@fastwebnet.it web site : http://carlogennariforni.beepworld.it/kolzer.htm EQUIPMENT AND SYSTEM FOR VACUUM COATING METALLIZING, SPUTTERING, PLASMA and PECVD Hybrid system KOLZER DGK

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

Experiences of PLD Technology for LIB Separators. PICODEON Oy. Neal White

Experiences of PLD Technology for LIB Separators. PICODEON Oy. Neal White Experiences of PLD Technology for LIB Separators PICODEON Oy Neal White 1 Outline Introduction to Picodeon Ceramic coating rationale Separator overview Why PLD for LIB separators Current status of Picodeon

More information

High Throughput Laser Processing of Guide Plates for Vertical Probe Cards Rouzbeh Sarrafi, Dana Sercel, Sean Dennigan, Joshua Stearns, Marco Mendes

High Throughput Laser Processing of Guide Plates for Vertical Probe Cards Rouzbeh Sarrafi, Dana Sercel, Sean Dennigan, Joshua Stearns, Marco Mendes High Throughput Laser Processing of Guide Plates for Vertical Probe Cards Rouzbeh Sarrafi, Dana Sercel, Sean Dennigan, Joshua Stearns, Marco Mendes IPG Photonics - Microsystems Division Outline Introduction

More information

Mirror contamination and secondary electron effects during EUV reflectivity analysis

Mirror contamination and secondary electron effects during EUV reflectivity analysis Mirror contamination and secondary electron effects during EUV reflectivity analysis M. Catalfano a, A. Kanjilal a, A. Al-Ajlony a, S. S. Harilal a, A. Hassanein a, and B. Rice b a Center for Materials

More information

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION NCCAVS Joint Users Group Technical Symposium San Jose, June 7 th, 2017 Markus Arendt, SÜSS MicroTec

More information

Laser Plasma Technologies. Innovative Targetry for Laser Plasma Interaction

Laser Plasma Technologies. Innovative Targetry for Laser Plasma Interaction Laser Plasma Technologies Innovative Targetry for Laser Plasma Interaction May 17-20, 2016, HEDS 2016, Yokohama François Sylla, PhD SourceLAB was created in 2013 as a spin-off of the Laboratoire d Optique

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

Photonic Sintering of Silver for Roll-to-Roll Printed Electronics. Saad Ahmed, PhD Manager-Engineering

Photonic Sintering of Silver for Roll-to-Roll Printed Electronics. Saad Ahmed, PhD Manager-Engineering Photonic Sintering of Silver for Roll-to-Roll Printed Electronics Saad Ahmed, PhD Manager-Engineering Topics Introduction Significance of nanotechnology Conductive inks Pulsed light for sintering Reel-to-reel

More information

Korkealämpötilaprosessit

Korkealämpötilaprosessit Korkealämpötilaprosessit Pyrometallurgiset jalostusprosessit Lisäaineisto sulkeumien analysoinnista Inclusion analyses Many inclusions are not found until they cause problems in the final product - Reclamations

More information

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre Energy Efficient Glazing Design John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre 2 John Ridealgh 30th November 2009 Talk Outline Pilkington Group Limited & NSG Group

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Differentially pumped quadrupole SIMS probe on FIBbased and two-beam microscopes

Differentially pumped quadrupole SIMS probe on FIBbased and two-beam microscopes Differentially pumped quadrupole SIMS probe on FIBbased and two-beam microscopes Richard J Chater (1), Barbara Shollock (1), David McPhail (1), Alan J Smith (2) and Graham Cooke (2) (1) Department of Materials,

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Resist Characterization for EUV- Lithography

Resist Characterization for EUV- Lithography Resist Characterization for EUV- Lithography 2. Int. EUVL-Symposium, Antwerp, B Wolf-Dieter Domke 1, Stefan Hirscher 2, liver Kirch 3, Karl Kragler 1, Klaus Lowack 1, 1 Infineon Technologies AG, 91050

More information

AC Reactive Sputtering with Inverted Cylindrical Magnetrons

AC Reactive Sputtering with Inverted Cylindrical Magnetrons AC Reactive Sputtering with Inverted Cylindrical Magnetrons D.A. Glocker, Isoflux Incorporated, Rush, NY; and V.W. Lindberg and A.R. Woodard, Rochester Institute of Technology, Rochester, NY Key Words:

More information

EP-23. Advanced EP Concepts, AIAA Jared P. Squire, Mark D. Carter, Franklin R. Chang Diaz, Lawrence Dean, Matthew Giambusso, Jose Castro,

EP-23. Advanced EP Concepts, AIAA Jared P. Squire, Mark D. Carter, Franklin R. Chang Diaz, Lawrence Dean, Matthew Giambusso, Jose Castro, EP-23. Advanced EP Concepts, AIAA-2016-4950 Jared P. Squire, Mark D. Carter, Franklin R. Chang Diaz, Lawrence Dean, Matthew Giambusso, Jose Castro, Juan Del Valle Presented by Mark Carter 1.A review of

More information

Gridless end-hall. Ion Sources. For Ion Assisted Thin Film Deposition & Substrate Cleaning

Gridless end-hall. Ion Sources. For Ion Assisted Thin Film Deposition & Substrate Cleaning Gridless end-hall Ion Sources For Ion Assisted Thin Film Deposition & Substrate Cleaning End-Hall Ion Sources mark I Ion Source The Mark I End-Hall is ideal for small research and development and pilot

More information

Plating HIGH ASPECT RATIO PCBs

Plating HIGH ASPECT RATIO PCBs Plating HIGH ASPECT RATIO PCBs Achieving proper copper deposition in holes on thicker boards is no easy task, even with reverse pulse plating. Understanding the ins and outs of electrolyte agitation is

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

General Guidelines on Drop Size Measurement Techniques and Terminology

General Guidelines on Drop Size Measurement Techniques and Terminology General Guidelines on Drop Size Measurement Techniques As presented at the 47th Chemical Processing Industry Exposition, Javits Convention Center, New York, November 1997 Rudolf J. Schick Spray Analysis

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Fabrication of the Crystalline ITO Pattern by Picosecond Laser with a Diffractive Optical Element

Fabrication of the Crystalline ITO Pattern by Picosecond Laser with a Diffractive Optical Element Fabrication of the Crystalline ITO Pattern by Picosecond Laser with a Diffractive Optical Element C.W. Chien and C.W. Cheng* ITRI South Campus, Industrial Technology Research Institute, No. 8, Gongyan

More information

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Journal of Optoelectronics and Advanced Materials Vol. 4, No. 3, September 2002, p. 809-812 MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Foundation for Research

More information

Laser damage threshold of AR coatings on phosphate glass

Laser damage threshold of AR coatings on phosphate glass Laser damage threshold of AR coatings on phosphate glass Optical Coatings for Laser Applications Wednesday, 12 th April 2017, Buchs SG, Switzerland dirk.apitz@schott.com, SCHOTT Suisse SA, Advanced Optics,

More information

Cavity Filters. KIGRE, INC., 100 Marshland Road, Hilton Head, SC 29926, USA PH: FAX: Web:

Cavity Filters. KIGRE, INC., 100 Marshland Road, Hilton Head, SC 29926, USA PH: FAX: Web: Cavity Filters Kigre, Inc. s expertise in laser glass technology has led the way in the company s development of a wide range of cavity filter glass for solid-state lasers. Filters are used inside the

More information

Managing Anode Effects and Substrate Heating from Rotatable Sputter Targets

Managing Anode Effects and Substrate Heating from Rotatable Sputter Targets Managing Anode Effects and Substrate Heating from Rotatable Sputter Targets Frank Papa*, Dermot Monaghan**, Victor Bellido- González**, and Alex Azzopardi** *Gencoa Technical & Business Support in US,

More information

Experimental and Numerical Study of Isentropic Compression by Laser Irradiation. Erik Brambrink PNP13

Experimental and Numerical Study of Isentropic Compression by Laser Irradiation. Erik Brambrink PNP13 Experimental and Numerical Study of Isentropic Compression by Laser Irradiation Erik Brambrink PNP13 Outline The relevance of iron for geophysics Isentropic compression with lasers Experimental implementation

More information

Radiation Damage of Polycrystalline CVD Diamond with Graphite Electrical Contacts

Radiation Damage of Polycrystalline CVD Diamond with Graphite Electrical Contacts Radiation Damage of Polycrystalline CVD Diamond with Graphite Electrical Contacts E. Alemanno 1,2, M. Martino 1,2, A.P. Caricato 1,2, M. Corrado 1,2, C. Pinto 1,2, S. Spagnolo 1,2, G. Chiodini 2, R. Perrino

More information

Thin Film Characterizations Using XRD The Cases of VO2 and NbTiN

Thin Film Characterizations Using XRD The Cases of VO2 and NbTiN Thin Film Characterizations Using XRD The Cases of VO2 and NbTiN A thesis submitted in partial fulfillment of the requirement for the degree of Bachelor of Arts / Science in Physics from The College of

More information

Generation of small batch high quality metal powder

Generation of small batch high quality metal powder Generation of small batch high quality metal powder Daniel Nils Ellendt 2 Lutz Mädler 2 Jörg Fischer- Peter Hofmann 3 Volker Schwenck Bühner 3 Uhlenwinkel schwenck@iwt.unibremen.de Ellendt@iwt.unibremen.de

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Diagnostics for Studying Deposition and Erosion Processes in JET

Diagnostics for Studying Deposition and Erosion Processes in JET EFDA JET CP(04)06-10 P. Coad, H-G Esser, J. Likonen, M Mayer, G Neill, V Philipps, M. Rubel, J Vince and JET EFDA Contributors Diagnostics for Studying Deposition and Erosion Processes in JET . Diagnostics

More information

EFFICIENCY AND PRODUCTIVITY INCREASE OF SOLAR-CELLS AND -MODULES BY INNOVATIVE LASER APPROACHES

EFFICIENCY AND PRODUCTIVITY INCREASE OF SOLAR-CELLS AND -MODULES BY INNOVATIVE LASER APPROACHES EFFICIENCY AND PRODUCTIVITY INCREASE OF SOLAR-CELLS AND -MODULES BY INNOVATIVE LASER APPROACHES PD Dr. Alexander Horn, V. Schütz, J. Gonzalez, C.C. Kalmbach Photovoltaics Group Dpt. for Production and

More information

LASER-INDUCED CONTAMINATION CONTROL FOR HIGH-POWER LASERS IN SPACE-BASED LIDAR MISSIONS

LASER-INDUCED CONTAMINATION CONTROL FOR HIGH-POWER LASERS IN SPACE-BASED LIDAR MISSIONS LASER-INDUCED CONTAMINATION CONTROL FOR HIGH-POWER LASERS IN SPACE-BASED LIDAR MISSIONS Jorge Alves (1), Federico Pettazzi (1) Adrian Tighe (2), Denny Wernham (3) (1) Opto-electronics Section (2) Materials

More information

Experimental study of plasma window 1*

Experimental study of plasma window 1* Submitted to Chinese Physics C' Experimental study of plasma window 1* SHI Ben-Liang( 史本良 ), HUANG Sheng( 黄胜 ), ZHU Kun( 朱昆 ) 1), LU Yuan-Rong( 陆元荣 ) State Key Laboratory of Nuclear Physics and Technology,

More information

Performance of the Micro Photon Devices PDM 50CT SPAD detector with PicoQuant TCSPC systems

Performance of the Micro Photon Devices PDM 50CT SPAD detector with PicoQuant TCSPC systems Technical Note Performance of the Micro Photon Devices PDM 5CT SPAD detector with PicoQuant TCSPC systems Rolf Krahl, Andreas Bülter, Felix Koberling, PicoQuant GmbH These measurements were performed to

More information

MODEL 1051 TEM Mill ION MILLING. Ion milling is used on physical science. specimens to reduce thickness to electron

MODEL 1051 TEM Mill ION MILLING. Ion milling is used on physical science. specimens to reduce thickness to electron MODEL 1051 TEM Mill A state-of-the-art ion milling and polishing system offering reliable, high performance specimen preparation. It is compact, precise, and consistently produces high-quality transmission

More information

Fs- Using Ultrafast Lasers to Add New Functionality to Glass

Fs- Using Ultrafast Lasers to Add New Functionality to Glass An IMI Video Reproduction of Invited Lectures from the 17th University Glass Conference Fs- Using Ultrafast Lasers to Add New Functionality to Glass Denise M. Krol University of California, Davis 17th

More information

R&D ACTIVITIES AT ASSCP-BHEL,GURGAON IN SOLAR PV. DST-EPSRC Workshop on Solar Energy Research

R&D ACTIVITIES AT ASSCP-BHEL,GURGAON IN SOLAR PV. DST-EPSRC Workshop on Solar Energy Research R&D ACTIVITIES AT -BHEL,GURGAON IN SOLAR PV at the DST-EPSRC Workshop on Solar Energy Research (22 nd 23 rd April, 2009) by Dr.R.K. Bhogra, Addl. General Manager & Head Email: cpdrkb@bhel.co.in Dr.A.K.

More information

Confocal Microscopy of Electronic Devices. James Saczuk. Consumer Optical Electronics EE594 02/22/2000

Confocal Microscopy of Electronic Devices. James Saczuk. Consumer Optical Electronics EE594 02/22/2000 Confocal Microscopy of Electronic Devices James Saczuk Consumer Optical Electronics EE594 02/22/2000 Introduction! Review of confocal principles! Why is CM used to examine electronics?! Several methods

More information

Bare Aluminum Oxidation

Bare Aluminum Oxidation Brigham Young University BYU ScholarsArchive All Faculty Publications 2017-11-22 Bare Aluminum Oxidation R. Steven Turley Brigham Young University, turley@byu.edu Follow this and additional works at: https://scholarsarchive.byu.edu/facpub

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Status of Research on Deposition of Superconducting Films for RF Accelerating Cavities

Status of Research on Deposition of Superconducting Films for RF Accelerating Cavities Status of Research on Deposition of Superconducting Films for RF Accelerating Cavities J. Langner, L. Catani*, A. Cianchi*, K. Czaus, R. Mirowski, R. Russo*, M.J. Sadowski, S. Tazzari*, F. Tazzioli***,

More information

Hard Coated Silica/Silica (Low OH) Radius

Hard Coated Silica/Silica (Low OH) Radius DESCRIPTION When looking for a silica core and silica clad fiber with a hard polymer coating that allows a high core-to-clad ratio and a numerical aperture (N.A.) of 0.22 for efficient light coupling,

More information

advantages : region of interest pre-prepared specimen initial specimen TEM-observation direction region of interest pre-prepared specimen

advantages : region of interest pre-prepared specimen initial specimen TEM-observation direction region of interest pre-prepared specimen TEM Specimen Preparation by Focused Ion Beam Sputtering - Optimisation of the Process machining of T EM lamella with Focused Ion Beam sputtering B. Köhler, G. Irmer, L. Bishoff, J.Teichert advantages :

More information

DEPOSITION OF Al 2 O 3 ON CERAMIC SUBSTRATES BY PECVD METHOD. Lucie Špirková a Vlastimil Brožek a Jean Durand b

DEPOSITION OF Al 2 O 3 ON CERAMIC SUBSTRATES BY PECVD METHOD. Lucie Špirková a Vlastimil Brožek a Jean Durand b DEPOSITION OF Al 2 O 3 ON CERAMIC SUBSTRATES BY PECVD METHOD Lucie Špirková a Vlastimil Brožek a Jean Durand b a) Institute of Chemical Technology, 166 28 Prague, Czech Republic b) Laboratoire des Matériaux

More information

Developing Solutions for the Environmental Challenges to Deploying PV Plants in Desert Areas

Developing Solutions for the Environmental Challenges to Deploying PV Plants in Desert Areas Developing Solutions for the Environmental Challenges to Deploying PV Plants in Desert Areas Zia Malik Technology Application and Advancement Group (TAAG), Economic Development King Abdullah University

More information

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures VOLUME 4 - ELECTROFORMING Leveraging the Precision of over Alternative Processes When Developing Nano-scale Structures Electrical and mechanical component and subsystem designers generally have five techniques

More information

UCLA UCLA Electronic Theses and Dissertations

UCLA UCLA Electronic Theses and Dissertations UCLA UCLA Electronic Theses and Dissertations Title External Mass Injection to Reduce Energetic Ion Production in the Discharge Plume of High Current Hollow Cathodes Permalink https://escholarship.org/uc/item/2kt8s39z

More information

Substrate surface effect on the structure of cubic BN thin films from synchrotron-based X-ray diffraction and reflection

Substrate surface effect on the structure of cubic BN thin films from synchrotron-based X-ray diffraction and reflection Substrate surface effect on the structure of cubic BN thin films from synchrotron-based X-ray diffraction and reflection X.M. Zhang, W. Wen, X.L.Li, X.T. Zhou published on Dec 2012 PHYS 570 Instructor

More information

Thin AC-PDP Vacuum In-line Sealing Using Direct-Joint Packaging Method

Thin AC-PDP Vacuum In-line Sealing Using Direct-Joint Packaging Method H128 0013-4651/2004/151 5 /H128/5/$7.00 The Electrochemical Society, Inc. Thin AC-PDP Vacuum In-line Sealing Using Direct-Joint Packaging Method Duck-Jung Lee, a,b,z Seung-IL Moon, a Yun-Hi Lee, c and

More information

Mo/Si Multilayers with Different Barrier Layers for Applications as Extreme Ultraviolet Mirrors

Mo/Si Multilayers with Different Barrier Layers for Applications as Extreme Ultraviolet Mirrors Jpn. J. Appl. Phys. Vol. 41 (2002) pp. 4074 4081 Part 1, No. 6B, June 2002 c 2002 The Japan Society of Applied Physics Mo/Si Multilayers with Different Barrier Layers for Applications as Extreme Ultraviolet

More information

The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole

The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole University of Groningen The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you

More information

Preparation of Bi-Based Ternary Oxide Photoanodes, BiVO 4,

Preparation of Bi-Based Ternary Oxide Photoanodes, BiVO 4, Preparation of Bi-Based Ternary Oxide Photoanodes, BiVO 4, Bi 2 WO 6 and Bi 2 Mo 3 O 12, Using Dendritic Bi Metal Electrodes Donghyeon Kang, a, Yiseul Park, a, James C. Hill, b and Kyoung-Shin Choi a,*

More information