Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Size: px
Start display at page:

Download "Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks"

Transcription

1 Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Association of Super-Advanced Electronics Technologies (ASET) EUV Process Technology Research Laboratory Takeo Hashimoto and Iao Nishiyama

2 Contents Background Blanks repair methods Simulation method Results Amplitude defect repair Phase defect repair Defect covering by absorber pattern Conclusions

3 Background (1) -Critical issues for EUVL- Critical issues for EUVL 1. Source poer and lifetime including condenser optics lifetime 2. Availability of defect free masks 3. Reticle protection during storage, handling, and use 4. Projection and illumination optics lifetime and contamination Defect mitigation Patterning Repair Inspection 5. Resist resolution, sensitivity, and LWR Mo/Si Multilayer Mask Blanks 6. Optics quality for 32nm node

4 Background Defect mitigation SEMI P Defect requirements for multilayer stack Class A B PSL equivalent range (nm) Maximum defect count 0 0 Recent progress for defect mitigation Defect density ( /cm2) IBS Helicon (DC) ASET(2003) [>120-70nm ] Others C D 60 Agreed upon beteen user and supplier Target 0.003/cm2

5 Blanks repair methods Scooped by FIB, EB Covering Amplitude defect Absorber Phase defect Depression by EB exposure substrate Schematic of multilayer defects

6 Simulation method Simulator: EM-Suite (TEMPESTpr) Absorber Buffer defect Substrate h substrate Defect Typical ASET h EUV 13.5nm Multilayer Mo/Si 40pair Multilayer Mo/Si pair: 40 d-spacing: 6.95nm(nominal) : 0.4 Exposure tool : 13.5nm NA/: 0.25/0.80 Incident angle: 6 Magnification: x4 Mask structure Buffer : Cr 10nm Absorber: TaGeN 70nm Cap : Non Contrast : More than 100

7 Amplitude defect repair Scooped ith FIB, EB and RAVE Defect50nm, Mo 200nm 50nm y Mo/Si Aerial image after scooping repair Crater depth dependency No repair The intensity degradation is small in case of crater depth less than 20nm.

8 Amplitude defect repair The effect of capping layer formation on a crater A. Barty et al. (SPIE 2002) Ar ion beam Cap material Si Thickness: t=5nm Sputtered cap 250nm 200nm y=20nm Mo/Si t No comformal Without cap

9 Phase defect repair by EB exposure EB No smoothing effect defect defect h h substrate p Initial d :6.95nm EB contraction Defect sizeh=10nm, =40nm h substrate Imin. is recovered by d-spacing contraction due to EB exposure.

10 Phase defect repair by EB exposure Imin. of aerial image after EB repair P h=10nm, =40nm, on substrate Defect size Before repair The reason for insufficient recover of Imin. Reflectivity loss accompanied by d-spacing reduction Structure distortion inside multilayer stack (even though the surface of multilayer is flat.)

11 Phase defect repair method taking account of d-spacing reduction Reflectivity loss No reflectivity loss Reflectivity Reflectivity loss No loss Wavelength (nm) Wavelength (nm) Reflectivity Initial d-spacing6.95nm After repair: 6.85nm Initial d-spacing7.01nm After repair: 6.92nm

12 Phase defect repair method taking account of d-spacing reduction Defect on substrate (at the bottom of multilayer) Defect sizeh=10nm, =40nm (on Mask) Initial d-spacing6.95nm Before repair After repair Imin. of aerial image recovers sufficiently by taking account of initial d-spacing. Initial d-spacing7.01nm Before repair After repair

13 Effect of EB repair for defects in multilayer Defect sizeh=10nm, =40nm EB 40th layer 30th layer 20th layer 10th layer Aerial image for optimized contraction of d-spacing Substrate z (/2) z

14 Defect covering by absorber pattern Absorber nmL&S (x4 Mask) Covering h=10nm h=10nm a) =80nm (20nm) b) =160nm (40nm) Not resolved Not resolved

15 Defect covering by absorber pattern Absorber h=10nm =80nm intensity distibution (20nm on afer) CD CD (nm) Defect size dependency of CD 2.5% 32nmL&S /4(nm)

16 Conclusions 3 kinds of defect repair methods for multilayer mask blank ere evaluated through aerial image simulations. It as confirmed that they ere effective for amplitude and phase defect repair. If defect density of mask blank is close to the target value in future, the defect covering by absorber pattern ill be especially effective. Defect repair ill be a useful countermeasure for EUVL mask blank defect issues. Acknoledgement This ork as supported by NEDO.

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Effects of Thin Film Depositions on the EUV mask Flatness

Effects of Thin Film Depositions on the EUV mask Flatness Effects of Thin Film Depositions on the EUV mask Flatness Kyoung-Yoon Bang, Jinback Back, Hwan-Seok Seo, Dongwan Kim, DongHoon Chung, SeongSue Kim, Sang-Gyun Woo, and HanKu Cho Photomask Team Semiconductor

More information

Progress in EUV blanks development at HOYA

Progress in EUV blanks development at HOYA Progress in EUV blanks development at HOYA T. Shoki, T. Yamada*, A. Ikeda*, J. Miyagaki*, N.Tanaka*, S. Shimojima, R. Ohkubo and O. Nozawa NGL Development Center, Blanks Dev.* HOYA Corporation 1 2005 EUVL

More information

EUVL Mask Defect Strategy

EUVL Mask Defect Strategy EUVL Mask Defect Strategy EUV Mask March 4, 2002, Santa Clara, CA Alan Stivers, Ted Liang, Barry Lieberman, Pei-yang Yan, Fu-Chang Lo Intel Corporation, Santa Clara, CA USA Outline Introduction Overall

More information

Control technology of EUV Optics Contamination:

Control technology of EUV Optics Contamination: EUVL Workshop, Maui, Hawaii Wednesday, June 11, 2008 Control technology of EUV Optics Contamination: Modeling, mitigation and cleaning for lifetime extension Iwao Nishiyama 1 Outline 1. Introduction Requirement

More information

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber

More information

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS Roxann L. Engelstad, Venkata Siva R. Battula, Pradeep Vukkadala, Andrew R. Mikkelson, Madhura Nataraju, and Kevin T. Turner Computational

More information

Optical and Physical Characteristics of EUV Phase Shift Masks

Optical and Physical Characteristics of EUV Phase Shift Masks Optical and Physical Characteristics of EUV Phase Shift Masks Tae Geun Kim, Byung Hun Kim, Chang Young Jeong, Chung Yong Kim, SangsulLee, and Jinho Ahn Department of Materials Science and Engineering,,

More information

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools Eric Cotte a *, Uwe Dersch a, Christian Holfeld a, Uwe Mickan b, Holger Seitz c, Thomas Leutbecher c, and Günter Hess c

More information

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea EUVL Activities in Korea Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea Who are interested in EUVL? Device manufacturer and material supplier -Samsung : NAND Flash,

More information

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc. Jinho Ahn Device manufacturer and material supplier -Samsung : DRAM, Logic, High-end Foundry -SK hynix: DRAM -Kumho Petrochemical: Photoresist Academia and Research Institute -Hanyang Univ.: strongest

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

EUV Mask Defect Reduction : Status and Challenges

EUV Mask Defect Reduction : Status and Challenges EUV Mask Defect Reduction : Status and Challenges Brian BC Cha*, Inyong Kang, Wonsuk Ahn, Sanghyun Kim, Hwanseok Seo, Suyoung Lee, Hanshin Lee, Sungmin Huh, Wonil Cho, Jihoon Na, Hoon Kim, *bccha@samsung.com

More information

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

Development Status of EUVL Blank and Substrate

Development Status of EUVL Blank and Substrate Development Status of EUVL Blank and Substrate Asahi Glass Company Toshiyuki Uno 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber 3. Integrated

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force Overview of SEMI Standards for EUV Masks Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force 1 4 Storage container and attributes of removable protection mechanism (3553) Removable protection

More information

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION Uwe Dietze Davide Dattilo SUSS MicroTec OUTLINE Background EUVL Mask Life Time Concerns Potential Root Causes for Ru Damage Solutions & Mitigation

More information

Lessons Learned from SEMATECH s Nanoimprint Program

Lessons Learned from SEMATECH s Nanoimprint Program Accelerating the next technology revolution Lessons Learned from SEMATECH s Nanoimprint Program Matt Malloy Lloyd C. Litt Mac Mellish 10/19/11 Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo

More information

E-Beam Coating Technology for EUVL Optics

E-Beam Coating Technology for EUVL Optics E-Beam Coating Technology for EUVL Optics Eric Louis, Andrey Yakshin, Sebastian Oestreich, Peter Görts, Marc Kessels, Edward Maas and Fred Bijkerk Institute Rijnhuizen, Nieuwegein, The Netherlands Stephan

More information

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Accelerating the next technology revolution Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Il-Yong Jang 1, Arun John 1, Frank Goodwin

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

EUV Technology, Martinez, CA

EUV Technology, Martinez, CA Compact in-line EUV Laser Plasma Reflectometer for the measurement reflectivity and uniformity of EUV Lithography Mask Blank Multilayer Coatings Rupert C. C. Perera & James H. Underwood EUV Technology,

More information

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV optics lifetime Radiation damage, contamination, and oxidation EUV optics lifetime Radiation damage, contamination, and oxidation M. van Kampen ASML Research 10-11-2016 Preamble Slide 2 ASML builds lithography scanners High-resolution photocopiers Copies mask pattern

More information

Status of EUVL mask development in Europe

Status of EUVL mask development in Europe Status of EUVL mask development in Europe Jan Hendrik Peters * Advanced Mask Technology Center, Raehnitzer Allee 9, 01109 Dresden, Germany ABSTRACT EUV lithography is the prime candidate for the next generation

More information

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Eric Louis 1, Igor Makhotkin 1, Erwin Zoethout 1, Stephan Müllender 2 and Fred Bijkerk 1,3 1 FOM Institute for Plasma Physics

More information

Supporting Information for

Supporting Information for Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2015 Supporting Information for Large-Scale Freestanding Nanometer-thick Graphite Pellicle for Mass

More information

EUV Defect Repair Strategy

EUV Defect Repair Strategy EUV Defect Repair Strategy J.H. Peters, S. Perlitz, U. Matejka, W. Harnisch, D. Hellweg, M. Weiss, M. Waiblinger, T. Bret, T. Hofmann, K. Edinger, K. Kornilov Carl Zeiss SMS / SMT 2011 International Symposium

More information

High-accuracy laser mask repair technology using ps UV solid state laser

High-accuracy laser mask repair technology using ps UV solid state laser RIKEN Review No. 43 (January, 2002): Focused on 2nd International Symposium on Laser Precision Microfabrication (LPM2001) High-accuracy laser mask repair technology using ps UV solid state laser Yukio

More information

Contamination control in EUV exposure tools

Contamination control in EUV exposure tools Contamination control in EUV exposure tools Katsuhiko Murakami Noriaki Kandaka, Takashi Yamaguchi, Atsushi Yamazaki, Tsuneyuki Hagiwara, Tetsuya Oshino, Jiro Inoue and Kazuya Ota Nikon Corporation June

More information

FEL Irradiation Tolerance of Multilayer Optical System

FEL Irradiation Tolerance of Multilayer Optical System FEL Irradiation Tolerance of Multilayer Optical System Satoshi Ichimaru, Masatoshi Hatayama NTT Advanced Technology Corporation 1. Introduction 2. Damage formation - Thermal process vs Non-thermal process

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/16/2018 http://vixra.org/abs/1807.0188 Abstract This paper outlines a design for an EUV transmission

More information

Optical pumping and final metal investigation

Optical pumping and final metal investigation Optical pumping and final metal investigation FLOORS Optical pumping of unstressed device Optical pumping of stressed device Stressing points Trap analysis t=0, As Built t>0, Degradation Final Metal Study

More information

Mask Substrate/Blank Cleaning Progress Challenges

Mask Substrate/Blank Cleaning Progress Challenges Accelerating the next technology revolution Mask Substrate/Blank Cleaning Progress Challenges Arun JohnKadaksham and Frank Goodwin SEMATECH, Albany, NY 10/06/2013 Copyright 2012 SEMATECH, Inc. SEMATECH,

More information

EUV Masks: Remaining challenges for HVM

EUV Masks: Remaining challenges for HVM EUV Masks: Remaining challenges for HVM Pawitter Mangat June 13, 2013 EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting infrastructure HVM Storage Mask Lifetime Mask in-fab

More information

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG)

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) Optical Coatings Photonics 4 Luxury Coatings 21.06.2017, Genève Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG) RhySearch The Research- and Innovation Center in the Rhine Valley RhySearch

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/9/2018 Abstract This paper outlines a design for an EUV transmission lens comprising blazed, phase-

More information

EUV Source Supplier Update, Gigaphoton

EUV Source Supplier Update, Gigaphoton EUV Source Supplier Update, Gigaphoton Hakaru Mizoguchi EUV Source Workshop 6 May, 2007 Baltimore, MD, USA Acknowledgments A part of this work was performed under the management of EUVA in the NEDO's R&D

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

SEMI P10 for Automated Mask Orders

SEMI P10 for Automated Mask Orders SEMI P10 for Automated Mask Orders Prepared for International Sematech Mask Automation Workshop February 9, 2005 Wes Erck SEMI P10 Task Force Leader SEMI NA Microlithography Committee Co-Chair SEMI P10

More information

Laser Produced Plasma for Production EUV Lithography

Laser Produced Plasma for Production EUV Lithography TRW / Cutting Edge Optronics Laser Produced Plasma for Production EUV Lithography EUVL Source Workshop October 29, 2001 TRW/CEO Laser-Produced Plasma (LPP) EUV Source Development and Commercialization

More information

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita EUVL R&D Program in NewSUBARU University of Hyogo Hiroo Kinoshita NewSUBARU Synchrotron Facilities Reflectometer (BL10) IL tool Outgas measu rment system Interference Lithography & New Resist evaluation

More information

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden Dr. Uwe Dersch AMTC RD-TD Advanced Mask Technology Center GmbH & Co. KG. History and motivation Integrated process

More information

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE EUV HISTORY AT IMEC OVER 10 YEARS OF EUV EXPOSURE TOOLS AT IMEC 2006-2011 2011-2015 2014 - present ASML Alpha-Demo tool 40nm 27nm

More information

Prometheus-L Reactor Building Layout

Prometheus-L Reactor Building Layout Prometheus-L Reactor Building Layout Two Main Options for the Final Optic (1) SiO 2 or CaF 2 wedges 85 40 cm stiff, lightweight, actively cooled, neutron transparent substrate 4.6 m (2) Grazing incidence

More information

Masks for Extreme Ultraviolet

Masks for Extreme Ultraviolet -,,I..^ UCRL-JC-131546 PREPRINT Masks for Extreme Ultraviolet Lithography S. P. Vernon, P. A. Kearney, W. Tong, S. Prisbrey, C. Larson, C. E. Moore, F. Weber, G. Cardinale, P-Y. Yan, S. Hector This paper

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Status and Challenges in EUV Mask Cleaning

Status and Challenges in EUV Mask Cleaning MA-P03 Status and Challenges in EUV Mask Cleaning Ted Liang, Grace Ng, Guojing Zhang, Henry Yun, Ravi Jaiswal*, Intel Corporation * Summer Intern from Purdue University Takeya Shimomura, Toshiaki Motonaga

More information

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion Debbie Gustafson ENERGETIQ 7/16/2009 Agenda Background on the EQ-10 Electrodeless Z-Pinch TM EUV source Detailed characterization of the

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

The effect of Mo crystallinity on diffusion through the Si-on-Mo interface in EUV multilayer systems

The effect of Mo crystallinity on diffusion through the Si-on-Mo interface in EUV multilayer systems Defect and Diffusion Forum Online: 2009-03-02 ISSN: 1662-9507, Vols. 283-286, pp 657-661 doi:10.4028/www.scientific.net/ddf.283-286.657 2009 Trans Tech Publications, Switzerland The effect of Mo crystallinity

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

2008 Summer School on Spin Transfer Torque

2008 Summer School on Spin Transfer Torque 2008 Summer School on Spin Transfer Torque Nano-scale device fabrication 2-July-2008 Byoung-Chul Min Center for Spintronics Research Korea Institute of Science and Technology Introduction Moore s Law

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Understanding Optical Coatings For Military Applications

Understanding Optical Coatings For Military Applications Understanding Optical Coatings For Military Applications By Trey Turner, Chief Technology Officer, REO Virtually all optical components used in military applications, such as target designation, rangefinding

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Introduction Guillermo Acosta, Dr. David Allred, Dr, Steven Turley Brigham Young University

More information

Impact of New MoSi Mask Compositions on Processing and Repair

Impact of New MoSi Mask Compositions on Processing and Repair Impact of New MoSi Mask Compositions on Processing and Repair Anthony Garetto 1, John Stuckey 2, Don Butler 2 1 Carl Zeiss SMS Inc., 1 Corporation Way, 01960 Peabody, MA 2 MP Mask Technology Center LLC,

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work. 2. Experimental conditions Table 1 shows compositions of top coat and non top coat resist materials evaluated in this work. Resist A is a conventional ArF resist using immersion top coat. Resists B, C,

More information

In-situ laser-induced contamination monitoring using long-distance microscopy

In-situ laser-induced contamination monitoring using long-distance microscopy In-situ laser-induced contamination monitoring using long-distance microscopy Paul Wagner a, Helmut Schröder* a, Wolfgang Riede a a German Aerospace Center (DLR), Institute of Technical Physics, Pfaffenwaldring

More information

U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006

U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006 U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006 Barcelona, Spain Tom Lucatorto 1 SEMATECH Coordinated Projects - 2006 Fundamental surface chemistry and physics: relevance

More information

Solar Selective Absorber Coating Methods Plasma Processes

Solar Selective Absorber Coating Methods Plasma Processes Solar Selective Absorber Coating Methods Plasma Processes Paul Gantenbein & Elimar Frank SPF - Institut für Solartechnik University of Applied Sciences Rapperswil (HSR) Optical properties of a selective

More information

EUV multilayer coatings: potentials and limits

EUV multilayer coatings: potentials and limits EUV multilayer coatings: potentials and limits 2012 International Workshop on EUV Lithography Sergiy Yulin, Torsten Feigl, Viatcheslav Nesterenko, Mark Schürmann, Marco Perske, Hagen Pauer, Tobias Fiedler

More information

The Effect of Debris on Collector Optics, its Mitigation and Repair: Next-Step a Gaseous Sn EUV DPP Source

The Effect of Debris on Collector Optics, its Mitigation and Repair: Next-Step a Gaseous Sn EUV DPP Source The Effect of Debris on Collector Optics, its Mitigation and Repair: Next-Step a Gaseous Sn EUV DPP Source Brian E. Jurczyk* a, Darren A. Alman a, Erik L. Antonsen a, Michael A. Jaworski a, Michael J.

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Mask Defect Auto Disposition based on Aerial Image in Mask Production Mask Defect Auto Disposition based on Aerial Image in Mask Production C.Y. Chen a, Laurent Tuo a, C. S. Yoo a, Linyong Pang b, Danping Peng b, Jin Sun b a E-Beam Operation Division, Taiwan Semiconductor

More information

At wavelength characterization of EUV and soft X-ray gratings

At wavelength characterization of EUV and soft X-ray gratings At wavelength characterization of EUV and soft X-ray gratings F. Scholze, A, Haase, C. Laubis, V. Soltwisch, J. Wernecke, M. Krumrey Physikalisch-Technische Bundesanstalt, Abbestraße 2-12, 10587 Berlin,

More information

Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures

Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures EXTATIC WELCOME WEEK Ellie Floyd Barte, M.Sc 23 September 2017 Outline Introduction and Motivation Experiments

More information

EUV patterning improvement toward high-volume manufacturing

EUV patterning improvement toward high-volume manufacturing P63 EUV patterning improvement toward high-volume manufacturing 2015 International Workshop on EUV Lithography Tokyo Electron Kyushu Ltd. / SPE process dept. Y. Kuwahara, K. Matsunaga, K. Nafus, S. Kawakami

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography U. Stamm, I. Ahmad, I. Balogh, H. Birner, D. Bolshukhin, J. Brudermann, S. Enke, F. Flohrer, K. Gäbel, S. Götze, G. Hergenhan,

More information

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 531 536 2015SPST Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Masaki

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute in Göttingen, Germany, using a freestanding, 100nm-period

More information

SIMS Analysis of Hydride in Commercially Pure Titanium

SIMS Analysis of Hydride in Commercially Pure Titanium Memoirs of the Faculty of Engineering, Kyushu University, Vol.67, No.4, December 2007 SIMS Analysis of Hydride in Commercially Pure Titanium by Hideaki NISHIKAWA *, Shigeru HAMADA ** and Katsu OHNISHI

More information

Kinematical theory of contrast

Kinematical theory of contrast Kinematical theory of contrast Image interpretation in the EM the known distribution of the direct and/or diffracted beam on the lower surface of the crystal The image on the screen of an EM = the enlarged

More information

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Metal Oxide EUV Photoresists for N7 Relevant Patterns Metal Oxide EUV Photoresists for N7 Relevant Patterns Stephen T. Meyers, Andrew Grenville 2016 International Workshop on EUV Lithography Resists Designed for EUV Lithography Integration Stochastic Variability

More information

US A1 (19) United States (12) Patent Application Publication (10) Pub. N0.: US 2013/ A1 Jindal et a]. (43) Pub. Date: Aug.

US A1 (19) United States (12) Patent Application Publication (10) Pub. N0.: US 2013/ A1 Jindal et a]. (43) Pub. Date: Aug. US 20130202990A1 (19) United States (12) Patent Application Publication (10) Pub. N0.: US 2013/0202990 A1 Jindal et a]. (43) Pub. Date: Aug. 8, 2013 (54) COATING OF SHIELD SURFACES IN C23C 14/34 (2006.01)

More information

\210 //////// ///////////////////// IN G CD. (12) United States Patent Mangat et al. ,240 US 6,596,465 B1 260 V//// / Jul.

\210 //////// ///////////////////// IN G CD. (12) United States Patent Mangat et al. ,240 US 6,596,465 B1 260 V//// / Jul. (12) United States Patent Mangat et al. US006596465B1 () Patent N0.: (45) Date of Patent: Jul. 22, 2003 (54) METHOD OF MANUFACTURING A SEMICONDUCTOR COMPONENT (75) Inventors: Pawitter J it Singh Mangat,

More information

METHOD FOR IMPROVING FIB PREPARED TEM SAMPLES BY VERY LOW ENERGY Ar + ION MILL POLISHING

METHOD FOR IMPROVING FIB PREPARED TEM SAMPLES BY VERY LOW ENERGY Ar + ION MILL POLISHING METHOD FOR IMPROVING FIB PREPARED TEM SAMPLES BY VERY LOW ENERGY Ar + ION MILL POLISHING Yaron Kauffmann, Tzipi Cohen-Hyams, Michael Kalina, Hila Sadan-Meltzman and Wayne D. Kaplan Dept. of Materials Engineering

More information

Development of multilayerbased x-ray optics for FEL and synchrotron applications

Development of multilayerbased x-ray optics for FEL and synchrotron applications Development of multilayerbased x-ray optics for FEL and synchrotron applications Saša Bajt Photon Sciences, DESY, Hamburg Instrumentation seminar, March 2, 2012 X-ray sources have developed at a staggering

More information

EUVL Advancements Toward HVM Readiness

EUVL Advancements Toward HVM Readiness EUVL Advancements Toward HVM Readiness Britt Turkot, Mark Phillips Intel Corporation When, versus If 2 Outline Review EUVL outlook at 2014 Source Workshop Recent progress and remaining gaps EUVL infrastructure

More information

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION Journal of Optoelectronics and Advanced Materials Vol. 7, No. 3, June 2005, p. 1191-1195 Invited lecture PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION J. Ihlemann * Laser-Laboratorium Göttingen e.v.,

More information

Product specification TiNOX energy

Product specification TiNOX energy Product specification TiNOX energy 1. Technical properties of the coating Version 6 of the 12 th April 2018 TiNOX energy is a highly selective absorber coating deposited in an environmental friendly air

More information

Low energy electron bombardment induced surface contamination of Ru mirrors

Low energy electron bombardment induced surface contamination of Ru mirrors Low energy electron bombardment induced surface contamination of Ru mirrors A. Al-Ajlony a, A. Kanjilal a, M. Catalfano a,s. S. Harilal a, A. Hassanein a and B. Rice b a Center for Materials Under Extreme

More information

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants Damage Threats and Response of Final Optics for Laser-Fusion Power Plants M. S. Tillack 1, S. A. Payne 2, N. M. Ghoniem 3, M. R. Zaghloul 1 and J. F. Latkowski 2 1 UC San Diego, La Jolla, CA 92093-0417

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of

Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of 1968 Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of Science 2005 Development of Jet 1 Demo EUV Source

More information

INA-X System for SNMS and SIMS

INA-X System for SNMS and SIMS Customized Systems and Solutions Nanostructures and Thin Film Deposition Surface Analysis and Preparation Components Surface Science Application INA-X System for SNMS and SIMS Application Notes The quantitative

More information

Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography

Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography Lawrence Livermore National Laboratory Regina Soufli, Sherry L. Baker, Jeff C. Robinson (LLNL) Eric M. Gullikson

More information

State of the art in silicon immersed gratings for space - Aaldert van Amerongen, Hélène Krol, Catherine Grèzes-Besset, Tonny Coppens, Ianjit Bhatti,

State of the art in silicon immersed gratings for space - Aaldert van Amerongen, Hélène Krol, Catherine Grèzes-Besset, Tonny Coppens, Ianjit Bhatti, State of the art in silicon immersed gratings for space - Aaldert van Amerongen, Hélène Krol, Catherine Grèzes-Besset, Tonny Coppens, Ianjit Bhatti, Dan Lobb, Bram Hardenbol, Ruud Hoogeveen Climate research

More information

In situ TEM Characterization of Shear Stress-Induced Interlayer. Sliding in the Cross Section View of Molybdenum Disulfide

In situ TEM Characterization of Shear Stress-Induced Interlayer. Sliding in the Cross Section View of Molybdenum Disulfide In situ TEM Characterization of Shear Stress-Induced Interlayer Sliding in the Cross Section View of Molybdenum Disulfide Juan Pablo Oviedo, Santosh KC, Ning Lu, Jinguo Wang, Kyeongjae Cho, Robert M. Wallace,

More information

Strain measurement in heteroepitaxiallayers-silicon on sapphire

Strain measurement in heteroepitaxiallayers-silicon on sapphire Strain measurement in heteroepitaxiallayers-silicon on sapphire Thad Vreeland, Jr. Division of Engineering and Applied Science, California Institute of Technology, Pasadena, California 91125 (Received

More information

The Role of Universities and National Laboratories in EUV Lithography

The Role of Universities and National Laboratories in EUV Lithography EUVL R&D Status Panel Discussion, June 11, Maui The Role of Universities and National Laboratories in EUV Lithography Hiroo kinoshita University of Hyogo EUVL Research in Japan Process & Mask R&D EUV Litho.G

More information