Robust Systems for Scaled CMOS and Beyond

Size: px
Start display at page:

Download "Robust Systems for Scaled CMOS and Beyond"

Transcription

1 Robust Systems for Scaled CMOS and Beyond Subhasish Mitra Robust Systems Group Department of EE & Department of CS Stanford University Acknowledgment: Students & Collaborators

2 Robust System Design Perform correctly despite complexity & disturbances Complexity: detect & fix design bugs CMOS reliability limits: tolerate errors Beyond silicon-cmos: imperfection-immune logic 2

3 What s New? Existing approaches: inadequate, expensive Traditional Thinking New approach Design bugs Pre-silicon Post-silicon Reliability failures Avoid Tolerate at low cost Beyond silicon-cmos Material processing Imperfection-immune design 3

4 Outline Introduction CMOS reliability limits: tolerate errors Beyond silicon-cmos: imperfection-immune logic Conclusion 4

5 Technology Reliability Challenges System soft error rates increasing Fatal flip-flop errors Comb. logic Flipflop SRAM (no ECC) Soft error rates Early-life failures (ELF) Burn-in: difficult, expensive Circuit aging & variations Worst-case guardbands expensive 5

6 Low-Cost Resilience Circuit Failure Prediction New failure signature ultra low-cost Failure rate Burn-in difficult I ddq ineffective Soft Error Resilience BISER + LEAP: Errors reduced: 2,000X Circuit aging Guardbands expensive Early-life failures (ELF) Lifetime Wearout Time Software-orchestrated global optimization a MUST 6

7 BISER: Built-In Soft Error Resilience IN Combinational logic Latch D Q C A Weak keeper OUT D Q Clock C B C-element Redundant Latch (Scan Test & Debug reuse) 45nm: up to 1,000X fewer errors vs. D-flip-flop 7

8 Single Error Assumption Inadequate Single event multiple upsets increasing LEAP: Layout by Error Aware transistor Positioning 2,000X fewer errors vs. D-flip-flop 8

9 Optimized Resilience Essential Select application-critical Chip-level error rate 0.1 flip-flops 20% Optimize for cross-layer resilience Power cost % % flip-flops critical 0% % critical flip-flops protected with logic parity, BISER for rest 9

10 Low-Cost Resilience Circuit Failure Prediction New failure signature ultra low-cost Failure rate Burn-in difficult I ddq ineffective Soft Error Resilience BISER + LEAP: Errors reduced: 2,000X Circuit aging Guardbands expensive Early-life failures (ELF) Lifetime Wearout Time Software-orchestrated global optimization a MUST 10

11 New Gate-Oxide ELF Signature Delay fluctuations over time Before functional failure Demonstrated: 45, 32nm 28, 22, 15nm in progress Stress time ELF Delay fluctuations Functional Failure Enables On-line failure prediction 11

12 On-line Failure Prediction Failure Prediction Before errors appear Error Detection After errors appear + No corruption Corrupt data & states + Low cost High cost + Self-diagnostics Limited diagnostics How? On-line self-test and diagnostics 12

13 On-Line Self-Test and Diagnostics Task 1 Task 2 Task N Task N+1 Task N+2 Task M CASP On-line self-test & diagnostics OpenSPARC T2 SoC High on-line test coverage No visible system downtime Uncore very important 1% power, 1% area, 3% performance impact Ultra low-cost 13

14 Outline Introduction CMOS reliability limits: tolerate errors Beyond silicon-cmos: imperfection-immune logic Conclusion 14

15 Carbon Nanotube FET (CNFET) D Carbon Nanotube (CNT) Diameter (D) : nm S. Iijima 15

16 Ideal CNFET Inverter P+ doped Semiconducting CNTs Vdd Lithographic pitch Input Gates Output 4nm Gnd N+ doped Semiconducting CNTs 16

17 CNFETs: BIG Promise, BUT Major barriers for a decade Mis-positioned CNTs Metallic CNTs Processing alone inadequate Imperfection-immune design essential Collaborator: Prof. H.-S.P. Wong, Stanford 17

18 Mis-positioned CNTs: Incorrect Logic Vdd A C B D Wanted: A C + B D Got: A C + B D + A D A Out C B Gnd D Wanted: (A+C) (B+D) Got : B+D 18

19 Mis-positioned-CNT-Immune NAND 1. Grow CNTs 19

20 Mis-positioned-CNT-Immune NAND 1. Grow CNTs 2. Extended gate & contacts A Vdd B Out A CRUCIAL B Gnd 20

21 Mis-positioned-CNT-Immune NAND 1. Grow CNTs 2. Extended gate & contacts 3. Etch gate & CNTs 4. Dope P & N regions A Out A Vdd B B Gnd 21

22 Mis-positioned-CNT-Immune NAND 1. Grow CNTs 2. Extended gate & contacts 3. Etch gate & CNTs 4. Dope P & N regions A Out A Vdd B Etched region ESSENTIAL B Gnd 22

23 Mis-positioned-CNT-Immune NAND 1. Grow CNTs 2. Extended gate & contacts 3. Etch gate & CNTs 4. Dope P & N regions Graph algorithms All possible functions VLSI Processing & design A Out A B Vdd B Etched region ESSENTIAL Gnd 23

24 VMR: VLSI Metallic CNT Removal Metallic-CNT-immune design Sufficient: all possible logic designs VLSI processing & design 24

25 First Wafer-Scale Aligned CNT Growth Quartz wafer with catalyst Aligned CNT growth Quartz wafer 99.5% CNTs aligned Before transfer Quartz substrate After transfer SiO 2 /Si substrate 2 µm 2 µm 25

26 First Experimental Demonstrations Imperfection-immune circuits Arithmetic & storage VLSI Integration Wafer-scale & monolithic 3D Adder sum Conventional via, NOT TSV D-latch D-latch Multi-layer CNFET circuits 26

27 CNFET Variations Significant Energy penalty Very high Naïve transistor upsizing Metallic CNT induced Grown CNT density Others CNFET I on variations 0% No design change Low (0%) Unique layouts + Co-optimized processing Yield High (99%+) 27

28 Outline Introduction CMOS reliability limits: tolerate errors Beyond silicon-cmos: imperfection-immune logic Conclusion 28

29 Thanks to my Research Group 29

30 Thanks to our Sponsors Photo credits: Burn-in & test socket workshop, H. Dai, NEC, opensparc.net, Stanford 30

31 Concluding Remarks Derive failure signatures Utilize failure signatures Validate failure signatures Enable Nanotechnology Revolutions & A TRULY Better Tomorrow 31

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Fabrication and Layout

Fabrication and Layout Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1,

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

Lecture 2. Fabrication and Layout

Lecture 2. Fabrication and Layout Lecture 2 Fabrication and Layout Mark Horowitz Modified by Azita Emami Computer Systems Laboratory Stanford University azita@stanford.edu 1 Overview Reading W&E 3.1(scan), 3.2.1, 3.3.1 - Fabrication W&E

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

Full-Chip Power/Performance Benefits of Carbon Nanotube- Based Circuits

Full-Chip Power/Performance Benefits of Carbon Nanotube- Based Circuits J. lnf. Commun. Converg. Eng. 13(3): 180-188, Sep. 2015 Regular paper Full-Chip Power/Performance Benefits of Carbon Nanotube- Based Circuits Taigon Song and Sung Kyu Lim*, Member, KIICE School of Electrical

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack 1 3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack Advantest Corporation 2 The final yield Any Multi-die Product Must Consider the Accumulated Yield Assume Test Can Provide 99% Die

More information

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process. CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Is Now Part of To learn more about ON Semiconductor, please visit our website at Is Now Part of To learn more about ON Semiconductor, please visit our website at www.onsemi.com ON Semiconductor and the ON Semiconductor logo are trademarks of Semiconductor Components Industries, LLC

More information

Architecting 3-D Integrated Circuit Fabric with Intrinsic Thermal Management Features

Architecting 3-D Integrated Circuit Fabric with Intrinsic Thermal Management Features Architecting 3-D Integrated Circuit Fabric with Intrinsic Thermal Management Features Mostafizur Rahman, Santosh Khasanvis, Jiajun Shi, Mingyu Li, Csaba Andras Moritz* Electrical and Computer Engineering,

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

Scaling, Power and the Future of CMOS. Mark Horowitz, Elad Alon, Dinesh Patil, Stanford Samuel Naffziger, Rajesh Kumar, Intel Kerry Bernstein, IBM

Scaling, Power and the Future of CMOS. Mark Horowitz, Elad Alon, Dinesh Patil, Stanford Samuel Naffziger, Rajesh Kumar, Intel Kerry Bernstein, IBM Scaling, Power and the Future of CMOS Mark Horowitz, Elad Alon, Dinesh Patil, Stanford Samuel Naffziger, Rajesh Kumar, Intel Kerry Bernstein, IBM A Long Time Ago In a building far away A man made a prediction

More information

Redox-Active Molecular Flash Memory for On-Chip Memory

Redox-Active Molecular Flash Memory for On-Chip Memory Redox-Active Molecular Flash Memory for On-Chip Memory By Hao Zhu Electrical and Computer Engineering George Mason University, Fairfax, VA 2013.10.24 Outline Introduction Molecule attachment method & characterizations

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays Paul C. McIntyre Department of Materials Science & Engineering Geballe Laboratory for Advanced Materials Stanford

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Nanosensors. Rachel Heil 12/7/07 Wentworth Institute of Technology Department of Electronics and Mechanical Professor Khabari Ph.D.

Nanosensors. Rachel Heil 12/7/07 Wentworth Institute of Technology Department of Electronics and Mechanical Professor Khabari Ph.D. Nanosensors Rachel Heil 12/7/07 Wentworth Institute of Technology Department of Electronics and Mechanical Professor Khabari Ph.D. There are many advances in nanotechnology that if perfected could help

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

III-V heterostructure TFETs integrated on silicon for low-power electronics

III-V heterostructure TFETs integrated on silicon for low-power electronics In the Quest of Zero Power: Energy Efficient Computing Devices and Circuits III-V heterostructure TFETs integrated on silicon for low-power electronics K. E. Moselund, M. Borg, H. Schmid, D. Cutaia and

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Midterm evaluations. Nov. 9, J/3.155J 1

Midterm evaluations. Nov. 9, J/3.155J 1 Midterm evaluations What learning activities were found most helpful Example problems, case studies (5); graphs (good for extracting useful info) (4); Good interaction (2); Good lecture notes, slides (2);

More information

Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design for Yield

Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design for Yield International Cooperation Forum Automotive IC-Design Challenges Strategies Trends Munich, Germany, October 25, 2005 Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality Home Tech SCHOTT North America, Inc. 553 Shepherdsville Road Louisville, KY 4228 USA Phone: +1 (52) 657-4417 Fax: +1 (52) 966-4976 Email: borofloat@us.schott.com www.us.schott.com/borofloat/wafer BOROFLOAT

More information

Strålningsskador vt 2014 Säteilyvauriot kl 2014 Radiation Damage Spring term Macroscopic/application consequences of irradiation

Strålningsskador vt 2014 Säteilyvauriot kl 2014 Radiation Damage Spring term Macroscopic/application consequences of irradiation Strålningsskador vt 2014 Säteilyvauriot kl 2014 Radiation Damage Spring term 2014 10. Macroscopic/application consequences of irradiation 10.1 Consequences of irradiation at what scale? Most of the consequences

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Historical Development. Babbage s second computer. Before the digital age

Historical Development. Babbage s second computer. Before the digital age Historical Development To fully appreciate the computers of today, it is helpful to understand how things got the way they are The evolution of computing machinery has taken place over several centuries

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

DNA Comparison Chip: Circuit Design and Evaluation

DNA Comparison Chip: Circuit Design and Evaluation University of Arkansas, Fayetteville ScholarWorks@UARK Electrical Engineering Undergraduate Honors Theses Electrical Engineering 5-2008 DNA Comparison Chip: Circuit Design and Evaluation University of

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

ENS 06 Paris, France, December 2006

ENS 06 Paris, France, December 2006 CARBON NANOTUBE ARRAY VIAS FOR INTERCONNECT APPLICATIONS Jyh-Hua ng 1, Ching-Chieh Chiu 2, Fuang-Yuan Huang 2 1 National Nano Device Laboratories, No.26, Prosperity Road I, Science-Based Industrial Park,

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 52 (2012) 2627 2631 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Investigation on CDM

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

3D Vertical RRAM. Henry (Hong-Yu) Chen, H.-S. Philip Wong Stanford University, CA, USA Collaborator: Peking University, China

3D Vertical RRAM. Henry (Hong-Yu) Chen, H.-S. Philip Wong Stanford University, CA, USA Collaborator: Peking University, China 3D Vertical RRAM Henry (Hong-Yu) Chen, H.-S. Philip Wong hongyuc@stanford.edu Stanford University, CA, USA Collaborator: Peking University, China Santa Clara, CA 1 What is RRAM? 0 : High Resistance State

More information

Single Ended Pass-Transistor Logic A comparison with CM OS and CP L

Single Ended Pass-Transistor Logic A comparison with CM OS and CP L Single Ended Pass-Transistor Logic A comparison with CM OS and CP L Mihai Munteanu, Peter A. Ivey, Luke Seed, Marios Psilogeorgopoulos, Neil Powell, Istvan Bogdan University of Sheffield, E.E.E. Department,

More information

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Adam Bertuch a, Wesley Smith a, Ken Steeples a, Robert Standley b, Anca Stefanescu b, and Ron Johnson c a QC Solutions Inc.,

More information

Aligned Carbon Nanofibre-Polymer Composite Membranes. CNT Growth and Manipulation. Eleanor Campbell Dept. of Physics, Göteborg University

Aligned Carbon Nanofibre-Polymer Composite Membranes. CNT Growth and Manipulation. Eleanor Campbell Dept. of Physics, Göteborg University Aligned Carbon Nanofibre-Polymer Composite Membranes CNT Growth and Manipulation Eleanor Campbell Dept. of Physics, Göteborg University Plasma CVD Growth Polymer/Nanofibre Composite Low ambient temperature

More information

Making III-V contact with silicon substrates

Making III-V contact with silicon substrates 106Technology focus: III-Vs on silicon Making III-V contact with silicon substrates High-speed logic, high-frequency/high-power transistors and photonics systems could benefit from marrying with silicon

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

HYPRES. Hypres MCM Process Design Rules 04/12/2016

HYPRES. Hypres MCM Process Design Rules 04/12/2016 HYPRES Hypres MCM Process Design Rules 04/12/2016 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES fabrication to: Daniel T. Yohannes Tel. (914) 592-1190

More information

Lecture 8 Testability Measures

Lecture 8 Testability Measures Lecture 8 Testability Measures Origins Controllability and observability SCOAP measures Sources of correlation error Combinational circuit example Sequential circuit example Test vector length prediction

More information

Lattice isplsi1032e CPLD

Lattice isplsi1032e CPLD Construction Analysis Lattice isplsi1032e CPLD Report Number: SCA 9612-522 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Overcoming the Challenges in Very Deep Submicron

Overcoming the Challenges in Very Deep Submicron Overcoming the Challenges in Very Deep Submicron for area reduction, power reduction and faster design closure A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF Master of

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

28nm Mobile SoC Copper Pillar Probing Study. Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad)

28nm Mobile SoC Copper Pillar Probing Study. Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad) 28nm Mobile SoC Copper Pillar Probing Study Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad) Overview Introduction to IMC Copper Pillar Implementation at IMC Low force

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Lecture 10: MultiUser MEMS Process (MUMPS)

Lecture 10: MultiUser MEMS Process (MUMPS) MEMS: Fabrication Lecture 10: MultiUser MEMS Process (MUMPS) Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, 1 Recap Various VLSI based

More information

DNA Logic Gates to Design a 4*4 bit Carry Select Adder Circuit

DNA Logic Gates to Design a 4*4 bit Carry Select Adder Circuit DNA Logic Gates to Design a 4*4 bit Carry Select Adder Circuit Seeja V M Bannari Amman Institute of Technology Sathyamangalam, Erode seejavm@gmail.com Daniel Raj A Bannari Amman Institute of Technology

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE K. Saga, H. Kuniyasu, and T. Hattori, M. B. Korzenski*, P.M. Visintin*, T. H. Baum* Sony Corporation Atsugi 243-8585 JAPAN Advanced

More information

Frontend flow. Backend flow

Frontend flow. Backend flow This section intends to document the steps taken in the first stage of this dissertation. In order to understand the design flow used by Synopsys HARDIP team, a PLL design was made, covering all the stages

More information

SUMMiT V Five Level Surface Micromachining Technology Design Manual

SUMMiT V Five Level Surface Micromachining Technology Design Manual SUMMiT V Five Level Surface Micromachining Technology Design Manual Version 1.3 09/22/2005 MEMS Devices and Reliability Physics Department Microelectronics Development Laboratory Sandia National Laboratories

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

SGS-Thomson M17C1001 1Mb UVEPROM

SGS-Thomson M17C1001 1Mb UVEPROM Construction Analysis SGS-Thomson M17C1001 1Mb UVEPROM Report Number: SCA 9612-518 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780

More information

Development of Low Temperature Oxidation Process Using Ozone For VlSI

Development of Low Temperature Oxidation Process Using Ozone For VlSI Development of Low Temperature Oxidation Process Using Ozone For VlSI Yudhvir Singh Chib Electronics & Communication Department, Thapar University, Patiala, India Abstract: With decreasing size of MOS

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

How to turn the promises of micro LED displays into reality?

How to turn the promises of micro LED displays into reality? How to turn the promises of micro LED displays into reality? Burkhard Slischka, Co founder and CEO, ALLOS Semiconductors 14th November 2017, Huawei Optical Materials and Processing Forum 2017 Photo by

More information

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Arvind NV, Krishna Panda, Anthony Hill Inc. March 2014 Outline Motivation Uncertainty in SOC Design Leveraging Uncertainty

More information

14. Designing with FineLine BGA Packages

14. Designing with FineLine BGA Packages 14. Designing with FineLine BGA Packages S51014-1.0 Chapter 14, Designing with FineLine BGA Packages, replaces AN 114: Designing with FineLine BGA Packages. Introduction As programmable logic devices (PLDs)

More information

Status Report: Optimization and Layout Design of AGIPD Sensor

Status Report: Optimization and Layout Design of AGIPD Sensor Status Report: Optimization and Layout Design of AGIPD Sensor Joern Schwandt, Jiaguo Zhang and Robert Klanner Institute for Experimental Physics, Hamburg University Jiaguo Zhang, Hamburg University 10th

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Ag Plating and Its Impact on Void-Free Ag/Sn Bumping

Ag Plating and Its Impact on Void-Free Ag/Sn Bumping Ag Plating and Its Impact on Void-Free Ag/Sn Bumping Hirokazu Ezawa, Kazuhito Higuchi, Msaharu Seto, Takashi Togasaki, Sachiko Takeda* and Rei Kiumi* Toshiba Corporation Semiconductor Company Advanced

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

Digitally Programmed Cells

Digitally Programmed Cells Digitally Programmed Cells Ron Weiss PI: Tom Knight MIT Artificial Intelligence Laboratory Goal Process-Control Cellular Computers -- Microbial Robotics Unique features: small, self-replicating, energy-efficient

More information

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Manufacturer Part Number. Module 2: CMOS FEOL Analysis Manufacturer Part Number description Module 2: CMOS FEOL Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

Closing the gap between ASIC & Custom

Closing the gap between ASIC & Custom Closing the gap between ASIC & Custom Chapters 9, 10 and 11 Presented by Raimo Mäkelä Content of presentation Chapter 9 Faster and Lower Power Cell-Based Design with Transistor-Level Cell Sizing Chapter

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006)

Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006) Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006) 1 Outline Sections and Contents of the Presentation What is MOS? How does MOS behave in low level? A useful

More information