Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration

Size: px
Start display at page:

Download "Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration"

Transcription

1 Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration Tomoji Nakamura, Yoriko Mizushima, Young-suk Kim, Akira Uedono, and Takayuki Ohba Fujitsu Laboratories Ltd., University of Tsukuba Tokyo Institute of Technology

2 Outline 1. Background and motivation 2. Experimental - Thinning conditions and characterization 3. Subsurface damaged layers in thinned wafers - Impacts of coarse grinding thickness - Remaining damages after fine grinding - Subsurface structure after CMP 4. Impact of ultra-thinning on device characteristics 2

3 3D Integrations for More than Moore SiP 3D-IC TSV DRAM Flash memory DRAM Flash memory DRAM Flash memory DRAM Flash memory Memory controller DRAM Power Photonic engine CMOS logic DRAM Memory controller Controller Silicon Substrate with TSV interconnects and Si Waveguides Photonic/electronic Circuit Board Source: 2011 ITRS Exec. Summary Fig. 5 Moore s Law and More 3 3

4 Bumpless 3D-IC Structure with Ultra-thinned wafers Eliminating Bump Small Form Factor 10-mm Thinning Low aspect ratio for TSV processing Wiring length shortening RC delay mitigation Low power consumption T. Ohba: Microelectron. Eng

5 Wafer thickness (µm) Wafer Thinning by Grinding & Polishing Damaged layer (nm) Thinning Process Back grind Stress relief Mechanical Strength Back grind Coarse Fine CMP 0 Process time Back grind Stress relief Coarse / Fine CMP Grinder wheel CMP head Si wafer slurry Stress relief Fracture strength (GPa) Gettering ability: weaker Optimizing coarse- and fine-grinding, and CMP conditions are crucial. 5

6 Motivation Analyzing subsurface damaged layers caused by thinning; 1. Damages and defects dependence on removed Si thicknesses 2. Impacts of grinding & polishing conditions on the damages 3. Impacts of ultra-thinning on device characteristics 4. Features of the damaged layer; thickness, microstructure, defects, stress etc 6

7 Experimental: Sample Preparations Thinning conditions Grinding thickness (µm) Sample Wafer Coarse Fine Stress No. thickness grind grind relief Grinding & Polishing apparatus: DGP8761 Coarse grind Particle size #320 Fine grind #2000 Impacts of coarsegrind thickness on remaining damages Remaining damages after fine-grinding 7 7

8 Experimental: Damage Analyses Laser microscopy Surface roughness: Ra (due to grinding marks) μ-raman scattering analysis: 458 nm Ar + laser, 0.7 μmf Subsurface structural change: crystalline & amorphous peaks Elastic strains & stresses: TO phonon peak shifts Cross-sectional TEM Micro structures & defects in the subsurface: bright field images under the (110) zone axis Positron annihilation analysis Vacancy-type defects: S parameters in Doppler broadening spectra 8

9 Ra (µm) Grinding Thickness Dependence of Ra Coarse-Center Coarse-Edge Fine-Center Fine-Edge CMP-Center CMP-Edge Grinding thickness (µm) Y. Mizushima: JJAP2014 Ra; Surface roughness depends on the grinding abrasive condition Removed thickness dependence is smaller than in-plane variations 9

10 Raman intensity (arb. units) Raman Spectra from Coarse-grind Subsurface 10 5 TO Coarse grind: 125 mm TA 2LA 2LO 2TO TA LA LO # # # mixed crystalline amorphous T. Nakamura: 3DIC2013 Raman shift (cm -1 ) Three types of spectra: amorphous, crystalline, and mixed structure 10 10

11 X-TEM Observation of Coarse-grind Damage 1.0 mm Poly Si Coarse grind: 125 mm Stacking faults Amorphous Si Plane-view image 10 mm 200nm Dislocations T. Nakamura: 3DIC

12 Impacts of Coarse-grind Thickness Sample No. Thinning conditions Wafer thickness Grinding thickness (µm) Coarse grind Fine grind Stress relief Grinding & Polishing apparatus: DGP8761 Coarse grind Fine grin d Particle size #320 #

13 Subsurface Damage after Fine Grinding T. Nakamura: 3DIC2013 Coarse grind: 75 mm Fine grind: 50 mm Dark contrast layer 100 ~ 200 nm thick Interference fringes Distorted dislocation contrasts Almost original crystalline structure Coarse : 425 mm Fine : 50 mm 1.0 mm Amorphous Si SAD Amorphous Si 1.0 mm 13

14 Raman intensity (arb. units) Raman Spectra and Imaging of Subsurface TO mm (a) 140 cm -1 (b) 523 cm -1 amorphous (a.u.) (a.u.) 140 cm cm -1 Coarse grind: 75 mm Fine grind: 50 mm T. Nakamura: 3DIC Raman shift (cm -1 ) Amorphous and crystalline Si areas remain along grinding marks. 14

15 TO phonon peak shift: ω (cm -1 ) Ground Thickness Dependence of Peak Shift Ar + Laser: 458 nm Beam size: 0.7 mm Ground thickness No. 2 3 Coarse Fine ω was obtained from randomly chosen ten points Higher peak shifts are ascribed to compressive lattice strains Coarse-grind thickness dependences are smaller than the large variations Y. Mizushima: JJAP

16 Peak shift ω (cm -1 ) Raman Peak Distribution: (110) Cross-section backside FWHM (cm -1 ) Coarse: 75 μm / Fine: 50 μm Coarse: 425 μm / Fine: 50 μ m Peak shift due t o elastic strain 50 MPa Si Coarse: 75 μm / Fine: 50 μm Coarse: 425 μm / Fine: 50 μ m Peak broadening due to damage Ref. Si (011) Position (μm) Position (μm) Plastic-deformed damaged layer is localized within less than1 μm depth Damaged layer influences inside compressive strains ranging up to 15μm depth T. Nakamura: 3DIC

17 Raman Peak Distribution after CMP Peak shift ω (cm -1 ) 0.3 Coarse: 75 μm / Fine: 50 μm + CMP 1 μm + CMP 5 μm Compressive strains disappear after 1 μm CMP Position (μm) The elastic strains ranging up to about 15 μm depth are caused by plastic-deformed damaged layer ( < 1 μm thick) T. Nakamura: 3DIC

18 X-TEM Images of Backside Surface after CMP 200 nm CMP (stress relief): 1 μm Defective dark contrast layers disappear Atomically flat surface is observed after polishing only 1 μm thick T. Nakamura: 3DIC

19 Trapping of positrons by vacancy-type defects A freely diffusing e + may be localized in an open space because of the Coulomb repulsion from ion cores. E: incident positron energy : low momentum part Larger S parameter means larger size of vacancy-type defects

20 S parameter S parameter Depth Distributions of S Parameters Mean implantation depth of positrons (nm) Coarse: #675 μm Fine: 50 fit μm Coarse: #7425 μm Fine: 50 fit μm Fine: 50 #14 μm CMP: 1 fitμm Fine: 50 #16 μm CMP: 5 fitμm Coarse: 75 μm Fine: 50 μm Coarse: 425 μm Fine: 50 μm #5 #2 Fine: 50 μm #7 #3 CMP: 1 μm #14 # Positron energy (kev) Depth (nm) After fine grinding, vacancy-type defects range up to 0.1 μm depth S parameter distributions can distinguish defect density deference between 1- and 5- μm thick CMP samples. T. Nakamura: 3DIC

21 Defects induced by grinding of Si wafers The lifetime spectrum of a positron was measured at E = 2 kev and it was decomposed into two components. t 1 = 285 ± 9 ps t 2 = 490 ± 20 ps (I 2 = 11 ± 2 %) Vacancy (V or V 2 ) Vacancy cluster (V 18 ) Leipner et al., Physica B , 6 17 (2003) I 2 =20 % Fz-Si (P doped) was deformed at RT and 800 C up to 16% in an anisotro pic multi-anvil apparatus under a co nfining pressure of 5 GPa. Uedono et al., JAP 116, (2014) 460 ps 210 ps

22 Summary: Subsurface Damages 22 #320 grit grind #2000 grit grind CMP 5 μm 200 nm 2-3 nm 1. Coarse grinding damages cause the roughness and defects of less than 5 μm depth. 2. After fine grinding plastic-deformed damaged layer with less than 200 nm thick still remains. 3. CMP process enables to remove residual damages such as structural defects and lattice strains except vacancy-type defects.

23 Ultra-Thinning of 300mm Wafer with 2 Gb DRAM Light Transparence on 4mm thick DRAM Wafer Cross-section of 4mm DRAM Wafer Device Layer = 7mm thinned Si = 4mm Extremely thinned down wafer from 775 to 4-µm, that is about 0.5 % of its original thickness. Y. S. Kim: VLSI

24 Sequence of Wafer-on-a-Wafer (WOW) Process Total Si Thickness [mm] Temporary bonding & Thinning Glass handle wafer Front side 10 DRAM wafer Glass handle wafer DRAM wafer Permanent Bonding Temporary adhesive line 2 line 1 Glass handle wafer 4 De-bonding Wafer Probing Base wafer Glass handle wafer DRAM wafer Base wafer DRAM wafer Base wafer Permanent adhesive 3 line 1 line Distance from Wafer Center [mm] Si thickness [µm] Mesh size of grind wheel TTV [um] Coarse Fine #320 # Y. S. Kim: VLSI

25 Effect of Ultra-thinning on Device Characteristics Ion/Ioff Junction Leakage Ioff [A/mm] Cumulative Probability [%] Ioff [A/mm] Cumulative Probability [%] Device Node Thickness FRAM ~180nm 9mm Logic 45nm (Lg 35nm) 7mm DRAM 40nm (2Gb) 4mm FRAM Memory 35nm SRAM Device SEM Picture 9-mm Si BCB PZT 5mm ~7-mm NMOS PMOS Electrical Property 1E-5 1E-6 1E-7 1E-8 1E-9 Before thinning After thinning 1E Ion [ma/mm] NMOS 1E-5 1E-6 1E-7 1E-8 1E-9 Before thinning After thininng 1E Ion [ma/mm] PMOS Before After N+/P Before After P+/N Junction Leakage Current[A] Junction Leakage Current[A] VLSI2010 IEDM2009 VLSI

26 Acknowledgments This work was carried out at 3D development program in the WOW alliance and the WOW Research Center Corporation. ALLIANCE 26

Characterization of Extreme Si Thinning Process for Wafer-to-Wafer Stacking

Characterization of Extreme Si Thinning Process for Wafer-to-Wafer Stacking 2016 IEEE 66th Electronic Components and Technology Conference Characterization of Extreme Si Thinning Process for Wafer-to-Wafer Stacking Fumihiro Inoue 1, Anne Jourdain 1, Joeri De Vos 1, Erik Sleeckx

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

3DIC Integration with TSV Current Progress and Future Outlook

3DIC Integration with TSV Current Progress and Future Outlook 3DIC Integration with TSV Current Progress and Future Outlook Shan Gao, Dim-Lee Kwong Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) Singapore 9 September, 2010 1 Overview

More information

Through Silicon Vias Annealing: A thermo-mechanical assessment

Through Silicon Vias Annealing: A thermo-mechanical assessment Dresden University of Technology / Through Silicon Vias Annealing: P. Saettler (1), K. J. Wolter (1), M. Hecker (2), M. Boettcher (3) and C. Rudolph (3) (1) Technische Universität Dresden, (2) Globalfoundries

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Mat. Res. Soc. Symp. Proc. Vol. 715 2002 Materials Research Society Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Xiang-Zheng Bo, Nan

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Materials Characterization for Stress Management

Materials Characterization for Stress Management Materials Characterization for Stress Management Ehrenfried Zschech, Fraunhofer IZFP Dresden, Germany Workshop on Stress Management for 3D ICs using TSVs San Francisco/CA, July 13, 2010 Outline Stress

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Imaging with Diffraction Contrast

Imaging with Diffraction Contrast Imaging with Diffraction Contrast Duncan Alexander EPFL-CIME 1 Introduction When you study crystalline samples TEM image contrast is dominated by diffraction contrast. An objective aperture to select either

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Laser Micromachining for Industrial Applications and R&D. 3D-Micromac AG. Symposium on Smart Integrated Systems in Chemnitz. 3D-Micromac AG

Laser Micromachining for Industrial Applications and R&D. 3D-Micromac AG. Symposium on Smart Integrated Systems in Chemnitz. 3D-Micromac AG 3D-Micromac AG Symposium on Smart Integrated Systems in Chemnitz 1 1 microdice - TLS-Dicing for separation of SiC 2 microprep - for high-throughput microstructure diagnostics 3 About 3D-Micromac AG 2 microdice

More information

Transmission Kikuchi Diffraction in the Scanning Electron Microscope

Transmission Kikuchi Diffraction in the Scanning Electron Microscope Transmission Kikuchi Diffraction in the Scanning Electron Microscope Robert Keller, Roy Geiss, Katherine Rice National Institute of Standards and Technology Nanoscale Reliability Group Boulder, Colorado

More information

The Search for Negative Impact of 3D Cu TSVs

The Search for Negative Impact of 3D Cu TSVs The Search for Negative Impact of 3D Cu TSVs WIDEIO MEMORY TI 28nm Logic Fine-Pitch Cu Substrate upillar Joint TSV Joint Jeff West Advanced Technology Development Texas Instruments, Inc. Outline Introduction

More information

Ion-Implantation Induced Layer Transfer of Single Crystalline Barium Titanate Thin Films

Ion-Implantation Induced Layer Transfer of Single Crystalline Barium Titanate Thin Films Chapter 3 Ion-Implantation Induced Layer Transfer of Single Crystalline Barium Titanate Thin Films 3.1 Introduction In this chapter, we examine the optimization of the ion implantation process by studying

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Fareen Adeni Khaja Global Product Manager, Front End Products Transistor and Interconnect Group NCCAVS

More information

The Correlation Between Dislocations and Vacancy Defects Using Positron Annihilation Spectroscopy

The Correlation Between Dislocations and Vacancy Defects Using Positron Annihilation Spectroscopy Plasma Science and Technology, Vol.14, No.7, Jul. 2012 The Correlation Between Dislocations and Vacancy Defects Using Positron Annihilation Spectroscopy PANG Jinbiao ( ), LI Hui ( ), ZHOU Kai ( ), WANG

More information

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Jack Kavalieros, Brian Doyle, Suman Datta, Gilbert Dewey, Mark Doczy, Ben Jin, Dan Lionberger, Matthew

More information

Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA

Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA Transmission Electron Microscope A transmission electron microscope, similar to a transmission light microscope, has the following components along

More information

Defect Engineering in Advanced Devices on High-Mobility Substrates

Defect Engineering in Advanced Devices on High-Mobility Substrates Defect Engineering in Advanced Devices on High-Mobility Substrates C. Claeys 1,2 1 IMEC, Leuven, Belgium 2 E.E. Dept., KU Leuven, Leuven, Belgium Outline Introduction Defect Studies Why important Challenges

More information

p. 57 p. 89 p. 97 p. 119

p. 57 p. 89 p. 97 p. 119 Preface Program Committee Members Transistor Physics History John Bardeen and Transistor Physics p. 3 Challenges p. xiii p. xv Technology in the Internet Era p. 33 Metrology Needs and Challenges for the

More information

Amorphous silicon waveguides for microphotonics

Amorphous silicon waveguides for microphotonics 4 Amorphous silicon waveguides for microphotonics Amorphous silicon a-si was made by ion irradiation of crystalline silicon with 1 10 15 Xe ions cm 2 at 77 K in the 1 4 MeV energy range. Thermal relaxation

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Mat. Res. Soc. Symp. Proc. Vol. 748 2003 Materials Research Society U11.8.1 Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Ionut Radu, Izabela Szafraniak,

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

Point-contacting by Localised Dielectric Breakdown: A new approach for contacting solar cells

Point-contacting by Localised Dielectric Breakdown: A new approach for contacting solar cells Point-contacting by Localised Dielectric Breakdown: A new approach for contacting solar cells SPREE Public Seminar 20 th February 2014 Ned Western Supervisor: Stephen Bremner Co-supervisor: Ivan Perez-Wurfl

More information

Layout-related stress effects on TID-induced leakage current

Layout-related stress effects on TID-induced leakage current Layout-related stress effects on TID-induced leakage current Nadia Rezzak, R. D. Schrimpf, M. L. Alles, En Xia Zhang, Daniel M. Fleetwood, Yanfeng Albert Li Radiation Effects Group Vanderbilt University,

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Molding materials performances experimental study for the 3D interposer scheme

Molding materials performances experimental study for the 3D interposer scheme Minapad 2014, May 21 22th, Grenoble; France Molding materials performances experimental study for the 3D interposer scheme Y. Sinquin, A. Garnier, M. Argoud, A. Jouve, L. Baud, J. Dechamp, N. Allouti,

More information

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE K. Saga, H. Kuniyasu, and T. Hattori, M. B. Korzenski*, P.M. Visintin*, T. H. Baum* Sony Corporation Atsugi 243-8585 JAPAN Advanced

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Raman and ion channeling analysis of damage in ion-implanted Gab: Dependence on ion dose and dose rate

Raman and ion channeling analysis of damage in ion-implanted Gab: Dependence on ion dose and dose rate Raman and ion channeling analysis of damage in ion-implanted Gab: Dependence on ion dose and dose rate U. V. Desnica Ruder Boskovic Institute, 41000 Zagreb, Croatia, Yugoslavia J. Wagner Fraunhofer-Institut

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

High Thermal Conductivity Silicon Nitride Ceramics

High Thermal Conductivity Silicon Nitride Ceramics Journal of the Korean Ceramic Society Vol. 49, No. 4, pp. 380~384, 2012. http://dx.doi.org/10.4191/kcers.2012.49.4.380 Review High Thermal Conductivity Silicon Nitride Ceramics Kiyoshi Hirao, You Zhou,

More information

Enabling Technology in Thin Wafer Dicing

Enabling Technology in Thin Wafer Dicing Enabling Technology in Thin Wafer Dicing Jeroen van Borkulo, Rogier Evertsen, Rene Hendriks, ALSI, platinawerf 2G, 6641TL Beuningen Netherlands Abstract Driven by IC packaging and performance requirements,

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Annealing Effect on Elastic Constant of Ultrathin Films Studied by Acoustic-Phonon Resonance Spectroscopy

Annealing Effect on Elastic Constant of Ultrathin Films Studied by Acoustic-Phonon Resonance Spectroscopy 1st International Symposium on Laser Ultrasonics: Science, Technology and Applications July 16-18 28, Montreal, Canada Annealing Effect on Elastic Constant of Ultrathin Films Studied by Acoustic-Phonon

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Changes in Microstructure of Al/AlN Interface during Thermal Cycling

Changes in Microstructure of Al/AlN Interface during Thermal Cycling Materials Transactions, Vol. 49, No. 12 (2008) pp. 2808 to 2814 #2008 The Japan Institute of Metals Changes in Microstructure of / Interface during Thermal Cycling Yoshiyuki Nagatomo 1, Takeshi Kitahara

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

3D Interconnects: Applying X-ray Microscopy as a Void Inspection Technique of Through Silicon Vias (TSVs)

3D Interconnects: Applying X-ray Microscopy as a Void Inspection Technique of Through Silicon Vias (TSVs) 3D Interconnects: Applying X-ray Microscopy as a Void Inspection Technique of Through Silicon Vias (TSVs) LayWai Kong Advisor: Prof. Alain Diebold College of Nanoscale Science and Engineering at Albany

More information

Modification of Glass by FS Laser for Optical/Memory Applications

Modification of Glass by FS Laser for Optical/Memory Applications Modification of Glass by FS Laser for Optical/Memory Applications Kazuyuki Hirao and Kiyotaka Miura Department of Material Chemistry Kyoto University International Workshop on Scientific Challenges of

More information

Application of Electronic Devices for Aerosol Deposition Methods

Application of Electronic Devices for Aerosol Deposition Methods Application of Electronic Devices for Aerosol Deposition Methods NAKADA Masafumi, KAWAKAMI Toshihiro, IWANAMI Mizuki, OHASHI Keishi Abstract Oxide materials have superior functional characteristics that

More information

SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age

SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age New S U B S TIdeas R A T E Sfor New Materials SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age Christophe Maleville and George Celler, Soitec Silicon-on-insulator (SOI) wafers are

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality Home Tech SCHOTT North America, Inc. 553 Shepherdsville Road Louisville, KY 4228 USA Phone: +1 (52) 657-4417 Fax: +1 (52) 966-4976 Email: borofloat@us.schott.com www.us.schott.com/borofloat/wafer BOROFLOAT

More information

Electron microscopy II

Electron microscopy II Electron microscopy II Nanomaterials characterization I RNDr. Věra Vodičková, PhD. Interaction ction: electrons solid matter Signal types SE.secondary e - AE Auger s e - BSE back scattered e - X-ray photons,

More information

Thin Film Scattering: Epitaxial Layers

Thin Film Scattering: Epitaxial Layers Thin Film Scattering: Epitaxial Layers 6th Annual SSRL Workshop on Synchrotron X-ray Scattering Techniques in Materials and Environmental Sciences: Theory and Application May 29-31, 2012 Thin films. Epitaxial

More information

Micro Laser Assisted Machining (µ-lam) of Semiconductors and Ceramics. Machining Direction

Micro Laser Assisted Machining (µ-lam) of Semiconductors and Ceramics. Machining Direction Micro Laser Assisted Machining (µ-lam) of Semiconductors and Ceramics John Patten, Director, Manufacturing Research Center Western Michigan University Machining Direction IR laser Machining Direction Work

More information

Why does polycrystalline natural diamond turn black after annealing?

Why does polycrystalline natural diamond turn black after annealing? phys. stat. sol. (a) 201, No.11, 2486 2491 (2004) / DOI 10.1002/pssa.200405178 Why does polycrystalline natural diamond turn black after annealing? B. Willems *, 1, K. De Corte 2, and G. Van Tendeloo 1

More information

THE NEXT GENERATION OF GERMANIUM SUBSTRATES: EXPOGER

THE NEXT GENERATION OF GERMANIUM SUBSTRATES: EXPOGER THE NEXT GENERATION OF GERMANIUM SUBSTRATES: EXPOGER Pieter Arickx (1), Rufi Kurstjens (1), Wim Geens (1), Kristof Dessein (1) (1) Umicore Electro-Optic Materials, Watertorenstraat 33 B2250 Olen (Belgium),

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

IISW-2009 BSI technical challenges

IISW-2009 BSI technical challenges IISW-2009 BSI technical challenges Bergen. 25th June 2009 Outline BSI consumer vs BSI scientific BSI vs FSI Remaining challenges Charges collection BSI overlay challenges BSI laser annealing Thinning Process

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS)

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) David Grant University of Waterloo ECE 639 Dr. Andrei Sazonov What s the current problem in AM- LCD and large-area area imaging? a-si:h has

More information

Computer Simulation of Nanoparticle Aggregate Fracture

Computer Simulation of Nanoparticle Aggregate Fracture Mater. Res. Soc. Symp. Proc. Vol. 1056 2008 Materials Research Society 1056-HH08-45 Computer Simulation of Nanoparticle Aggregate Fracture Takumi Hawa 1,2, Brian Henz 3, and Michael Zachariah 1,2 1 National

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

Engineering 45: Properties of Materials Final Exam May 9, 2012 Name: Student ID number:

Engineering 45: Properties of Materials Final Exam May 9, 2012 Name: Student ID number: Engineering 45: Properties of Materials Final Exam May 9, 2012 Name: Student ID number: Instructions: Answer all questions and show your work. You will not receive partial credit unless you show your work.

More information

Gas field ion source and liquid metal ion source charged particle material interaction study for semiconductor nanomachining applications

Gas field ion source and liquid metal ion source charged particle material interaction study for semiconductor nanomachining applications Gas field ion source and liquid metal ion source charged particle material interaction study for semiconductor nanomachining applications Shida Tan, a Richard Livengood, and Darryl Shima Intel Corporation,

More information

Recent Advances in Die Attach Film

Recent Advances in Die Attach Film Recent Advances in Die Attach Film Frederick Lo, Maurice Leblon, Richard Amigh, and Kevin Chung. AI Technology, Inc. 70 Washington Road, Princeton Junction, NJ 08550 www.aitechnology.com Abstract: The

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

EBSD Basics EBSD. Marco Cantoni 021/ Centre Interdisciplinaire de Microscopie Electronique CIME. Phosphor Screen. Pole piece.

EBSD Basics EBSD. Marco Cantoni 021/ Centre Interdisciplinaire de Microscopie Electronique CIME. Phosphor Screen. Pole piece. EBSD Marco Cantoni 021/693.48.16 Centre Interdisciplinaire de Microscopie Electronique CIME EBSD Basics Quantitative, general microstructural characterization in the SEM Orientation measurements, phase

More information

Silicon Stress Metrology for Cu-TSVs in 3D ICs

Silicon Stress Metrology for Cu-TSVs in 3D ICs Silicon Stress Metrology for Cu-TSVs in 3D ICs Colin McDonough, Benjamin Backes, Pratibha Singh, Larry Smith, Wei Wang, and Robert E. Geer College of Nanoscale Science & Engineering, University at Albany,

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

Microstructural Characterization of a Hot Pressed Si 3 N 4 TiN Composite Studied by TEM

Microstructural Characterization of a Hot Pressed Si 3 N 4 TiN Composite Studied by TEM Materials Transactions, Vol. 44, No. 6 (2003) pp. 1081 to 1086 #2003 The Japan Institute of Metals Microstructural Characterization of a Hot Pressed Si 3 N 4 TiN Composite Studied by TEM Byong-Taek Lee,

More information

Kinematical theory of contrast

Kinematical theory of contrast Kinematical theory of contrast Image interpretation in the EM the known distribution of the direct and/or diffracted beam on the lower surface of the crystal The image on the screen of an EM = the enlarged

More information

EV Group 300mm Wafer Bonding Technology July 16, 2008

EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment supplier for the

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

SPDT Effects on Surface Quality & Subsurface Damage in Ceramics

SPDT Effects on Surface Quality & Subsurface Damage in Ceramics SPDT Effects on Surface Quality & Subsurface Damage in Ceramics Deepak Ravindra & John Patten (Manufacturing Research Center- Western Michigan University) Jun Qu (Oak Ridge National Laboratory) Presentation

More information

Review Literature for Mosfet Devices Using High- K

Review Literature for Mosfet Devices Using High- K Review Literature for Mosfet Devices Using High- K Prerna Teaching Associate, Deptt of E.C.E., G.J.U.S. &T., INDIA prernaa.29@gmail.com Abstract: With the advancement of MOS devices over 40 years ago,

More information

EFFECT OF HYDROGEN, CERIUM AND TUNGSTEN DOPING ON INDIUM OXIDE THIN FILMS FOR HETEROJUNCTION SOLAR CELLS

EFFECT OF HYDROGEN, CERIUM AND TUNGSTEN DOPING ON INDIUM OXIDE THIN FILMS FOR HETEROJUNCTION SOLAR CELLS EFFECT OF HYDROGEN, CERIUM AND TUNGSTEN DOPING ON INDIUM OXIDE THIN FILMS FOR HETEROJUNCTION SOLAR CELLS A. Valla, P. Carroy, F. Ozanne, G. Rodriguez & D. Muñoz 1 OVERVIEW Description of amorphous / crystalline

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

Microstructures and Mechanical Properties of Ultra Low Carbon IF Steel Processed by Accumulative Roll Bonding Process

Microstructures and Mechanical Properties of Ultra Low Carbon IF Steel Processed by Accumulative Roll Bonding Process Materials Transactions, Vol. 43, No. 9 (22) pp. 232 to 2325 c 22 The Japan Institute of Metals EXPRESS REGULAR ARTICLE Microstructures and Mechanical Properties of Ultra Low Carbon IF Steel Processed by

More information