Amorphous and Polycrystalline Thin-Film Transistors

Size: px
Start display at page:

Download "Amorphous and Polycrystalline Thin-Film Transistors"

Transcription

1 Part I Amorphous and Polycrystalline Thin-Film Transistors

2

3 HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox Palo Alto Research Center, Palo Alto, CA M. Hack, R. Lujan, Xerox dpix, Palo Alto, CA ABSTRACT Distinct features of amorphous and polycrystalline silicon are attractive for large-area electronics. These features can be utilized in a hybrid structure which consists of both amorphous and polycrystalline silicon materials. For example, an extension of active matrix technology is the integration of peripheral drivers for the improvement of reliability, cost reduction and compactness of the packaging for large-area electronics. This goal can be approached by a combination of amorphous silicon pixel switches and polysilicon drivers. A monolithic fabrication process has been developed based on a simple modification of the amorphous silicon transistor process which uses selective area laser crystallization. This approach allows us to share many of the process steps involved in making both the amorphous and polysilicon devices. Another example of the hybrid device structure is a self-aligned amorphous silicon thin film transistor with polysilicon source and drain contacts. The advantages of the self-aligned transistor are reduction of the parasitic capacitance and scaling down of the device dimension. With a selective laser doping technique, self-aligned and shortchannel amorphous silicon thin film transistors have been demonstrated. INTRODUCTION Fabrication of high quality, large-area a-si films at low temperature by plasma enhanced chemical vapor deposition (PECVD) is well established and inexpensive. a-si:h material has been used for pixel switches and photo sensors in large area image arrays [1]. a- Si:H thin film transistors (TFTs) possess a low off-state current which makes them ideal pixel switches for high resolution imaging arrays. The carrier mobility of this material, however, is low. This drawback limits its application in high speed peripheral driver circuits. The development of low temperature poly-si by laser crystallization has brought attention to integration of large-area electronics with peripheral drivers. The field effect mobility of the poly-si TFT is two orders of magnitude higher than that of a-si TFTs. The high mobility makes poly-si TFTs attractive for the peripheral drive circuitry. However, poly-si TFTs have a considerably higher leakage current compared with a-si TFTs. A high leakage current through a pixel switch results in a poor dynamic range for an imaging system. In order to achieve a reasonable imaging dynamic range, the leakage current through the pixel switch has to be low enough to hold the charge on the pixel capacitor during one imaging frame time. This requirement becomes more stringent for a high resolution array, where the pixel capacitance is small. It is difficult for poly-si TFTs to meet this requirement for high resolution imaging arrays. A natural extension of a-si and poly-si technology is the combination of a-si and poly-si devices on the same substrate to improve the system reliability and to reduce the packaging cost for the large-area electronics. This goal can be approached by making a-si and poly-si TFTs on the same substrate separately or monolithically. The advantages of the monolithic fabrication are fewer processing steps and easier inter-device connections. Figure 1 shows a-si and poly-si TFTs fabricated by a monolithic process based on a modification of the a-si TFT fabrication process. The distance between the two devices is about 10 \im. Mat. Res. Soc. Symp. Proc. Vol Materials Research Society 3

4 Figure 1. Optical microscope photograph of an a-si TFT and a poly-si TFT built side by side by a monolithic fabrication process. The distance between the two devices is about lojim. Applications of the hybrid a-si and poly-si structure extend beyond the combination of a- Si and poly-si TFTs. For example, doped poly-si material has low resistivity which can be utilized for a-si TFT source and drain contacts [2]. In the conventional bottom-gate a-si:h TFT fabrication process, the channel region is defined by a self-aligned passivation island, formed by backside lithography. The source/drain contacts are formed by depositing doped a-si:h with subsequent patterning. Since it is difficult to selectively etch doped a-si over the intrinsic a-si, the top passivation island is utilized as the etch-stop to form the source/drain electrode. As a result, there are overlaps of the source/drain electrodes with the channel region, causing an additional parasitic capacitance between the gate and the source/drain electrodes. The high parasitic capacitance results in a feed-through voltage on the pixel electrode, producing image flicker and sticking in the display application. Also, the overlap introduces a variation of the parasitic capacitance among the pixels, resulting in non-uniform gray-level performance. The parasitic capacitance causes the cross talk during the readout process in the imaging application. In addition to the problem of the parasitic capacitance, the non-self-aligned stracture limits the scaling down of the channel dimension, which affects the pixel fill factor in both display and imaging applications. These problems can be solved by making a truly self-aligned a-si:h TFT with poly-si source and drain contacts. The stracture can be realized by forming self-aligned source/drain contacts with a pulsed laser doping technique. This paper describes the monolithic fabrication process for making a-si and poly-si TFTs on the same glass substrate and the laser doping process for making self-aligned a-si TFTs.

5 MONOLITHIC FABRICATION OF HYBRID a-si AND POLY-Si TFTs The monolithic fabrication process for the hybrid a-si and poly-si TFTs is based on the selective laser crystallization. Earlier work on monolithic fabrication was demonstrated on a staggered top-gate TFT structure by Sera, et al. in 1989 [3]. In general, the top-gate poly-si TFT has a higher mobility compared with the bottom-gate TFT [4]. However, the device performance of a top-gate a-si TFT is inferior to that of the bottom-gate TFTs, as described in reference 3. The attempt at making a simple modification of conventional a-si TFT technology for the hybrid device motivates the development of an inverse staggered (bottom-gate) TFT structure. An early demonstration of bottom-gate hybrid a-si and poly-si TFTs was reported by Shimizu, et al., in 1990 [5]. In their work, discrete a-si and poly-si TFTs were fabricated on the same crystalline Si wafer. A liquid crystal display with a-si pixel switches and poly-si peripheral circuits was reported by Tanaka in 1993 [6]. A challenge for the selective laser crystallization is related to the rapid hydrogen evolution from the hydrogenated a-si film. For the hybrid devices, high quality a-si films deposited by PECVD at low temperature are used in order to obtain high performance of a-si TFTs. The PECVD a-si film contains a large amount of atomic hydrogen (H), typically 7-10 at. %, which passivates Si dangling bonds and, therefore, reduces the defect density. Whereas H is essential to electrical performance of a-si devices, it causes film ablation during laser crystallization due to rapid hydrogen out-diffusion. Conventionally, a 450 C furnace anneal is performed for several hours to remove most of the H from the PECVD a-si:h films prior to laser crystallization to avoid film ablation. This anneal makes it impossible to fabricate high quality a-si:h devices due to H loss in the a-si devices at 450 C. There have been several reports on making hybrid a-si and poly-si TFTs by a selective laser crystallization process. The report by Shimizu et al. [5] describes an approach in which a- Si deposition was carried out at 450 C using disilane, resulting in a smaller amount of H (3 at. %) in the material. Because of the small hydrogen content in the starting material, the laser ablation was avoided during the selective crystallization. It is, however, detrimental for the a-si TFTs with a small amount of hydrogen. An alternative approach was reported by Tanaka et al. [6]. 25-?0- \300mJ/cm \ \ \ \ 1 a-si:h Glass Substrate "* - - Figure 2. Hydrogen outdiffusion per laser pulse as a function of the number of laser pulses. The a-si:h sample was irradiated by an excimer laser at 170 mj/cm 2, followed by laser irradiation at 300 mj/cm z mJ/cm 2 A Number of Pulses

6 In their work, the silicon film was thin (<50 nm), allowing thorough laser crystallization without hydrogen induced film ablation. A restriction in this process is a limit on the laser energy density for getting the optimized polycrystalline grain size. In our laboratory, we developed the three step laser process to gradually remove hydrogen and crystallize the film for poly-si TFTs [7]. As shown in Fig. 2, the amount of hydrogen out-diffusion can be controlled by adjusting the < " a-si:h (7% H) after 1st scan (4% H) 3ftor 3rd scan Depth (A) Figure 3. SIMS hydrogen depth profiles from an as deposited sample and samples irradiated in sequence at laser energy densities of 150, 300, and 374 mj/cm 2. Figure 4. Cross sections and planar views of samples after sequential laser processing at (a) 150 and (b) 300 mj/cm. (b)

7 increment in the laser energy density. In the three-step laser process, the first laser energy is slightly above the Si surface melting threshold. The amount of hydrogen is reduced from 7 atomic % to 4 atomic %, as shown in Fig. 3. The second laser energy density is near the meltthrough threshold, which removes the most of the hydrogen remaining in the film. The final laser scan produces poly-si films with large grains. Figure 4 shows cross-section and planar view TEM images of the films processed by the three-step process. After the first laser scan, the film is partially converted to microcrystalline Si with fine grains (Fig. 4a). The second scan at a energy density near the melt-through threshold produces columnar poly-si grains (Fig.4b). The average grain size, however, is small. The desired film crystallineaty is mainly determined by the last scan. 500 nm Figure 5. TEM planar view of poly-si films produced by the three-step laser process. The laser energy densities for the final step were (a) 425, (b) 445, (c) 465, and (d) 485 mj/cm2. Figure 5 shows the film crystallinity from samples processed by the three step laser crystallization at various laser energy densities for the last step. The grain size increases with increasing energy density up to a peak value of a few micrometers. The grain size decreases with further increases in the laser energy density. The transistor field effect mobility is conelated with the grain size. The large grain size results in a high mobility. At the optimized grain size, however, the film suffers from the damage at the interface between the Si film and the gate insulator below that results in non-uniform device performance and a significant leakage current through the gate insulator. Uniform device performance is obtained by choosing the laser energy density below the critical value. An alternative selective laser hydrogen removal process is described by Sameshima [8]. This process utilizes a laser beam with a staircase energy profile. With the staircase beam profile, the Sifilmreceives laser irradiations with gradually increasing the energy density. DEVICE PERFORMANCE OF HYBRID a-si AND POLY-Si TFTS Figure 6 describes the monolithic fabrication process for making a-si and poly-si TFTs on the same substrate. The process starts with gate electrode patterning, followed by PECVD

8 deposition of the dual dielectric of SiN and SiC>2 and a-si:h. Selective laser dehydrogenation/crystallization are then performed. The film is hydrogenated after the crystallization process to passivate the defects in the poly-si film. The source/drain contacts are made by doped a-si. The process is a modification of the conventional bottom-gate a-si fabrication. (a) (c) -N+a-Si:H Poly-Si TFT a-si:h TFT Figure 6. Fabrication process for hybrid a-si and poly-si TFTs. (a) PECVD deposition of the gate insulator and Si film; (b) Selective laser de-hydrogenation and crystallization; (c) The final device structure with source and drain contacts. The dual dielectric gate insulator is used to optimize the threshold voltage simultaneously for both a-si and poly-si TFTs. The TFT threshold voltage depends on the fixed charge in the dielectric film, the defect density and the doping level in the Si film, and the work function of the gate electrode. a-si TFTs with the SiN gate insulator shows superior performance over those with SiC>2. According to the defect pool model [9], the superior performance of a-si TFTs with a SiN gate insulator is attributed to the positive fixed charge in the nitride dielectric. The positive charges in the gate insulator result in, through equilibration reactions, lower defect densities located above the midgap of the a-si band gap. Therefore, the threshold voltage is small and positive. However, the threshold voltage of poly-si TFTs with a SiN gate insulator is negative. One of the simple approaches to obtain small and positive threshold voltages for both a-si and poly-si TFTs is to combine SiN and SiC>2 for the gate insulator. Figure 7 shows the transfer characteristics from a-si and poly-si TFTs fabricated on the same glass substrate. The gate insulator consists of a dual layer oxide and nitride dielectric. The performance of the poly-si TFT is uniform, though the mobility is lower than the maximum

9 mobility (-100 cm 2 /V sec) we are able to obtain with the monolithic fabrication process. The a- Si TFT exhibits a low leakage current. The leakage current is less than 1 fpj\im under a drain bias of 10 V, which is sufficiently low for the pixel switch. io*! * 1 _g 1 Poly-Si TFT W/L=60/15 \im H = 20cmW.s\,* ** v T =iv y*" V,=:10V * 1 10* 1 10' " " u ' ^ J / / ' / / / J J a-si TFT W/L=15/15 Jim \i =0.9 cmw.s v T - Gate Voltage (V) Figure 7 Transfer characteristics of a poly-si TFT and an a-si TFT fabricated by the selective laser de-hydrogenation and crystallization process. LASER DOPING FOR a-si TFT with POLY-Si SOURCE7DRAIN CONTACTS In general, laser doping is a process in which a laser pulse is employed to briefly melt a surface layer in a doping region. During this brief period, dopant species are introduced into the molten material. When the molten layer is solidified, the dopants are distributed and electrically activated in the layer. Laser doping experiments for material studies were conducted on 100 nm a-si films. The species for n-type doping is phosphorous. Figure 8 shows phosphorous depth profiles, measured by secondary ion mass spectrometry (SIMS) from samples doped at various laser energy densities. These data reveal that the doping level and depth are controlled by the laser melting process. The phosphorous diffusion coefficient in molten Si is about -10" 4 cm 2 /s [10], which is very fast compared with the solid phase diffusion rate (~10~ n cm 2 /s [11]). Since the temperature rise and fall in Si films during and after a pulsed laser irradiation is abrupt, effective dopant diffusion occurs primarily in the liquid phase. A higher laser doping energy results in a longer melt duration and deeper melting depth which leads to a higher doping level and deeper doping depth. Figure 9 shows the doping efficiency versus the laser doping energy density. The doping efficiency increases linearly with the energy when the laser energy exceeds the Si surface melting

10 threshold of about 200 mj/cm 2. With 300 mj/cm 2 laser doping energy density, the equivalent doping rate is about atom/em 2 per laser pulse. Since atoms/cm 2 is a typical dose required to form the TFT source/drain contacts, a few laser pulses are sufficient for making the device fabrication. Therefore, a high throughput doping process can be obtained by the laser doping technique mJ/cm 2Q0mJ/cm 250mJ/cirf 35OmJ/cirf Depth (JI m) Figure 8. SIMS data showing phosphorous depth profiles from 0.1 \im thick Si films doped by a pulsed laser at various laser energies with 100 pulses Laser Doping Energy density (mj/cm 2 ) Figure 9. Equivalent dose per laser pulse as a function of the laser doping energy density. The data were converted from SMS measurements. A self-aligned and short-channel TFT structure has been realized with the laser doping technique. Figure 10 shows the performance of a self-aligned a-si TFT with a channel length of 3\im. The device shows comparable field effect mobility compared with long channel devices, indicating that the source and drain contact resistance is low compared with the TFT channel resistance. In addition, the leakage current of the TFT is reasonably low for the pixel switch. For most applications, the pixel TFTs are operated in the linear region. The TFT contact resistance in the linear region was determined from the inverse of the output conductance as a function of the TFT channel length [12]. The contact resistance is the intersection of the device output resistance with zero channel length. Figure 11 shows a comparison of the contact resistance of the laser-processed self-aligned contacts and the conventionally doped a-si source/drain contacts. Since the self-aligned TFTs have similar channel properties and gate dielectrics as the conventional TFTs, the slopes of the straight line fit to the data from the two kind of devices are almost equal. The contact resistance, normalized to 1 Jim channel width, for the conventional contact and the laser processed contact are 16.2 and 0.76 MQ.jim respectively. The low contact resistance of the laser doped source/drain results in the high performance of the short-channel a-si TFTs. 10

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS)

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) David Grant University of Waterloo ECE 639 Dr. Andrei Sazonov What s the current problem in AM- LCD and large-area area imaging? a-si:h has

More information

Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods

Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 12, DECEMBER 2002 2217 Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods H. Watakabe and T. Sameshima Abstract Fabrication

More information

行政院國家科學委員會補助專題研究計畫成果報告

行政院國家科學委員會補助專題研究計畫成果報告 NSC89-2215-E-009-104 89 08 01 90 07 31 Fabrication and Characterization of Low-Temperature Polysilicon Thin Film Transistors with Novel Self-Aligned Sub-Gate Structures NSC89-2215-E009-104 (FID) self-aligned

More information

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization Journal of Non-Crystalline Solids 299 302 (2002) 1321 1325 www.elsevier.com/locate/jnoncrysol Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Instructor: Dr. M. Razaghi. Silicon Oxidation

Instructor: Dr. M. Razaghi. Silicon Oxidation SILICON OXIDATION Silicon Oxidation Many different kinds of thin films are used to fabricate discrete devices and integrated circuits. Including: Thermal oxides Dielectric layers Polycrystalline silicon

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Crystallization of Continuing Wave Laser Applications for Low-Temperature Polycrystalline Thin Film Transistors

Crystallization of Continuing Wave Laser Applications for Low-Temperature Polycrystalline Thin Film Transistors Chapter 4 Crystallization of Continuing Wave Laser Applications for Low-Temperature Polycrystalline Thin Film Transistors 4.1 Introduction Low temperature poly-silicon TFTs fabricated by excimer laser

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Development of Silicon Pad and Strip Detector in High Energy Physics

Development of Silicon Pad and Strip Detector in High Energy Physics XXI DAE-BRNS High Energy Physics Symposium 2014, IIT Guwahati Development of Silicon Pad and Strip Detector in High Energy Physics Manoj Jadhav Department of Physics I.I.T. Bombay 2 Manoj Jadhav, IIT Bombay.

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density Jpn. J. Appl. Phys. Vol. 40 (2001) pp. 49 53 Part 1, No. 1, January 2001 c 2001 The Japan Society of Applied Physics Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Study on the hydrogenated ZnO-based thin film transistors

Study on the hydrogenated ZnO-based thin film transistors Final Report Study on the hydrogenated ZnO-based thin film transistors To Dr. Gregg Jessen Asian Office of Aerospace Research & Development April 30th, 2011 Jae-Hyung Jang School of Information and Communications

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan Amorphous In 2 O 3 -Ga 2 O 3 -ZnO Thin Film Transistors and Integrated Circuits on Flexible and Colorless Polyimide Substrates Hsing-Hung Hsieh, and Chung-Chih Wu* Graduate Institute of Electronics Engineering,

More information

LOW-TEMPERATURE poly-si (LTPS) thin-film transistors

LOW-TEMPERATURE poly-si (LTPS) thin-film transistors IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 51, NO. 1, JANUARY 2004 63 Performance and Reliability of Low-Temperature Polysilicon TFT With a Novel Stack Gate Dielectric and Stack Optimization Using PECVD

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: Chapter 4 1 CHAPTER 4: Oxidation Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: 1. mask against implant or diffusion of dopant into silicon 2. surface passivation

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing CS/ECE 5710/6710 CMOS Processing Addison-Wesley N-type Transistor D G +Vgs + Vds S N-type from the top i electrons - Diffusion Mask Mask for just the diffused regions Top view shows patterns that make

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Integrated Amorphous and Polycrystalline Silicon Thin-Film Transistors in a Single Silicon Layer

Integrated Amorphous and Polycrystalline Silicon Thin-Film Transistors in a Single Silicon Layer IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 4, APRIL 2001 707 Integrated Amorphous and Polycrystalline Silicon Thin-Film Transistors in a Single Silicon Layer Kiran Pangal, Member, IEEE, James

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

Lecture #9: Active-Matrix LCDs

Lecture #9: Active-Matrix LCDs Lecture #9: Active-Matrix LCDs Introduction OUTLINE Active-matrix switching elements TFT performance requirements Active matrix processing constraints Amorphous silicon (a-si) TFT technology TFT fabrication

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Low temperature amorphous and nanocrystalline silicon thin film transistors. deposited by Hot-Wire CVD on glass substrate

Low temperature amorphous and nanocrystalline silicon thin film transistors. deposited by Hot-Wire CVD on glass substrate Low temperature amorphous and nanocrystalline silicon thin film transistors deposited by Hot-Wire CVD on glass substrate M. Fonrodona 1, D. Soler 1, J. Escarré 1, F. Villar 1, J. Bertomeu 1 and J. Andreu

More information

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Sungkweon Baek, Sungho Heo, and Hyunsang Hwang Dept. of Materials Science and Engineering Kwangju

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

Thin-Film Transistors in Polycrystalline Silicon by Blanket and Local Source/Drain Hydrogen Plasma-Seeded Crystallization

Thin-Film Transistors in Polycrystalline Silicon by Blanket and Local Source/Drain Hydrogen Plasma-Seeded Crystallization IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 8, AUGUST 2000 1599 Thin-Film Transistors in Polycrystalline Silicon by Blanket and Local Source/Drain Hydrogen Plasma-Seeded Crystallization Kiran Pangal,

More information

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Vivek Subramanian a, Krishna Saraswat a, Howard Hovagimian b, and John Mehlhaff b a Electrical

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Development and modeling of a low temperature thin-film CMOS on glass

Development and modeling of a low temperature thin-film CMOS on glass Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2-6-2009 Development and modeling of a low temperature thin-film CMOS on glass Robert G. Manley Follow this and

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

THIN FILM DEVICES for LARGE AREA ELECTRONICS

THIN FILM DEVICES for LARGE AREA ELECTRONICS Institute of Microelectronics Annual Report 2009 7 Project III. 3: THIN FILM DEVICES for LARGE AREA ELECTRONICS Project leader: Dr. D.N. Kouvatsos Collaborating researchers from other projects: Dr. D.

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 2 MARK QUESTIONS WITH ANSWERS UNIT I IC FABRICATION

EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 2 MARK QUESTIONS WITH ANSWERS UNIT I IC FABRICATION SRI VENKATESWARA COLLEGE OF ENGINEERING AND TECHNOLOGY TIRUPACHUR DEPARTMENT OFELECTRICAL AND ELECTRONICS ENGINEERING EE6303 LINEAR INTEGRATED CIRCUITS AND APPLICATIONS 1. Define an Integrated circuit.

More information

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur

Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Low Power VLSI Circuits and Systems Prof. Ajit Pal Department of Computer Science and Engineering Indian Institute of Technology, Kharagpur Lecture No. # 02 MOS Transistors - I Hello and welcome to today

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

"Plasma CVD passivation; Key to high efficiency silicon solar cells",

Plasma CVD passivation; Key to high efficiency silicon solar cells, "Plasma CVD passivation; Key to high efficiency silicon solar cells", David Tanner Date: May 7, 2015 2012 GTAT Corporation. All rights reserved. Summary: Remarkable efficiency improvements of silicon solar

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

0HE, United Kingdom. United Kingdom , Japan

0HE, United Kingdom. United Kingdom , Japan Tel. No.: 81-45-924-5357 Fax No.: 81-45-924-5339 e-mail: tkamiya@msl.titech.ac.jp Effects of Oxidation and Annealing Temperature on Grain Boundary Properties in Polycrystalline Silicon Probed Using Nanometre-Scale

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook CMOS Fabrication Dr. Bassam Jamil Adopted from slides of the textbook CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different

More information

Manufacturing Process

Manufacturing Process Manufacturing Process 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process 3 Single-crystal ingot

More information

Field effect transistor sensors for liquid media

Field effect transistor sensors for liquid media Field effect transistor sensors for liquid media Water micropollutants: from detection to removal November 26-28, 2018 Orléans 1 OUTLINE Some liquid sensors Dual Gate FET Examples Process of Dual Gate

More information

Lecture 1A: Manufacturing& Layout

Lecture 1A: Manufacturing& Layout Introduction to CMOS VLSI Design Lecture 1A: Manufacturing& Layout David Harris Harvey Mudd College Spring 2004 Steven Levitan Fall 2008 1 The Manufacturing Process For a great tour through the IC manufacturing

More information

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT ION IMPLANTATION TO ADJUST NMOS THRESHOLD VOLTAGES MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology INTRODUCTION ABSTRACT NMOS processes require a variety

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Problem 1 Lab Questions ( 20 points total)

Problem 1 Lab Questions ( 20 points total) Problem 1 Lab Questions ( 20 points total) (a) (3 points ) In our EE143 lab, we use Phosphorus for the source and drain diffusion. However, most advanced processes use Arsenic. What is the advantage of

More information

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates.

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates. ET3034TUx - 5.2.1 - Thin film silicon PV technology 1 Last week we have discussed the dominant PV technology in the current market, the PV technology based on c-si wafers. Now we will discuss a different

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Structural changes of polycrystalline silicon layers during high temperature annealing

Structural changes of polycrystalline silicon layers during high temperature annealing Structural changes of polycrystalline silicon layers during high temperature annealing D. Lysáček, L. Válek ON SEMICONDUCTOR CZECH REPUBLIC, Rožnov p. R., david.lysacek@onsemi.com Abstract The structure

More information

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD) Lecture 8 Deposition of dielectrics and metal gate stacks (CVD, ALD) Thin Film Deposition Requirements Many films, made of many different materials are deposited during a standard CMS process. Gate Electrodes

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Manufacturing Process

Manufacturing Process CMOS Manufacturing Process CMOS Process 1 A Modern CMOS Process gate-oxide TiSi AlCu Tungsten SiO n+ p-well p-epi poly n-well p+ SiO p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V DD

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Supplementary Figure S1 Photograph of MoS 2 and WS 2 flakes exfoliated by different metal naphthalenide (metal = Na, K, Li), and dispersed in water.

Supplementary Figure S1 Photograph of MoS 2 and WS 2 flakes exfoliated by different metal naphthalenide (metal = Na, K, Li), and dispersed in water. Supplementary Figure S1 Photograph of MoS 2 and WS 2 flakes exfoliated by different metal naphthalenide (metal = Na, K, Li), and dispersed in water. Supplementary Figure S2 AFM measurement of typical LTMDs

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information