60th Electronic Materials Conference

Size: px
Start display at page:

Download "60th Electronic Materials Conference"

Transcription

1 60th Electronic Materials Conference SESSION P: III-Nitrides HEMTs Session Chairs: Travis Anderson and Karl Hobart Thursday Morning, June 28, 2018 Location: Music Building, Lotte Lehmann 8:20 AM P01 (Student) 2D Hole Gas in MBE Grown GaN/AlN Heterostructures Reet Chaudhuri 1, Samuel Bader 2, Austin Hickman 1, Ryan Page 3, Huili Grace Xing 1, 3 and Debdeep Jena 1, 3 ; 1 Electrical and Computer Engineering, Cornell University, Ithaca, New York, United States; 2 Applied and Engineering Physics, Cornell University, Ithaca, New York, United States; 3 Materials Science and Engineering, Cornell University, Ithaca, New York, United States. P-channel Field-Effect Transistors are essential to enable complementary logic and power integrated circuits (ICs) with III-nitride semiconductors. But the high (~180 mev) thermal activation energy of holes in GaN leads to large sheet resistances, limiting the performance of p-channel devices compared to n-channel ones. This can be overcome by using polarization-induced doping to obtain a high density 2-dimensional hole gas (2DHG) at the interface of a narrower bandgap layer on a wider bandgap material. Metal-polar GaN/AlN heterostructures maximize the polarization-induced hole concentration because of the high bandgap and spontaneous polarization of AlN, with the added advantage of the high thermal conductivity and high electrical resistivity of the crystalline AlN. This serves as a p-channel complement to the n-channel AlN/GaN/AlN Quantum Well FET on AlN platform 1. In this work, we demonstrate the growth of GaN/AlN heterostructures on an AlN substrate using plasmaassisted molecular beam epitaxy (PA-MBE), and observe a high-density 2DHG. Standard Mg, Ga and Al effusion cells, and N 2 plasma source were used for the epitaxial growth on 1cmx1cm pieces of commercial AlN-on-Sapphire templates. The initial AlN layer was nucleated in a slightly N-rich growth regime, with subsequent 300 nm of buffer AlN in metal-rich regime. A thin layer of unintentionally doped GaN was then grown, followed by Mg doped GaN for a total GaN thickness of ~10nm. The growth of the entire structure was at a substrate temperature of 730 C and a growth rate of ~480 nm/hr. Post-growth characterization by X-Ray diffraction confirms the thickness of the GaN layer to be ~11 nm. Smooth surface morphology with sub-nm rms roughness of nm was measured indicating high quality growth. Hall-effect measurements using Indium contacts confirmed the presence of a 2DHG at the GaN/AlN interface, with a mobility of ~6/22 cm 2 /V.s and charge density of ~5.6x10 13 /3.1x10 13 cm -2 at 300K/77K. A temperature dependent Hall measurement showed a slight decrease in the hole density from ~5x10 13 cm -2 at 300K to ~3x10 13 cm -2 at 77K, which is due to the partial freeze-out of the holes contributed by the thermally activated Mg dopants. This is explained by a Schrodinger-Poisson based model. The presence of ~3x10 13 cm -2 2DHG at the lowest cryogenic temperatures with a hole mobility of 22 cm 2 /V.s indicates the successful realization of polarization-induced hole counterpart of the ubiquitous 2D electron gas. A low temperature measurement of the resistance of the 2DHG shows a slight smooth rise in resistance while cooling the sample under 15K. This indicates the 2DHG exhibits a metal-insulator transition or weak localization effect at very low temperatures. Further studies are being done to understand this phenomenon. But can 2DHG be obtained without Mg-doping, as no donor doping is necessary to obtain 2DEG. We find that the answer is yes. To that end, we etch a MBE grown undoped AlN/GaN/ AlN QW heterostructure on an 6H-SiC substrate. Hall-effect measurement of the as-grown structure yields an electron density of ~2x10 13 cm -2 with mobility of 576 cm 2 /V.s. The measurement is dominated by the high mobility electrons even though the structure is expected to have both a 2DEG and 2DHG in the quantum well. Using ICP/RIE, we etch away the top AlN barrier and are left with a GaN/AlN heterostructure with no Mg doping. Hall-effect measurement of this structure yields a positive sign, with a charge density ~1.2 x10 13 cm -2 and a mobility of ~1 cm 2 /V.s confirming the presence of a 2DHG at the interface since there is no other source of holes in the structure. This is the first ever 2DHG measured in III-nitrides without any Mg doping in the epitaxial structure. This technique has the potential to be integrated into a process for developing monolithic p and n-channel devices using a single epitaxial growth and is being investigated further. 1 Li. et al., IEEE Elec. Device Letters, Vol. 34, No. 7 (2013) (8:40 AM P02 Student) Vertical Breakdown and Current Transport Mechanisms in Carbon Doped AlGaN/GaN HEMT on 150 mm Silicon Nayana Remesh 1, Sandeep Kumar 1, Shreesha Prabhu 1, Ivor Guiney2, Colin J Humphreys2, Srinivasan Raghavan 1, Rangarajan Muralidharan 1, Digbijoy Neelim Nath 1 and Anisha Kalra 1 ; 1 Centre for Nanoscience and Engineering, Indian Institute of Science, Bangalore, Karnataka, India; 2Department of Materials Science and Metallurgy, University of Cambridge, Cambridge, United Kingdom. We report on the vertical breakdown and current transport mechanisms in Carbon (C)-doped AlGaN/GaN HEMT on 6-inch silicon. We show that C-doping the AlGaN transition layer along with the GaN buffer layer is critical to achieving a 3-to-4 order of magnitude lower vertical leakage up to 300 V compared to samples with only C-doped GaN buffer. We also report for the first time, a quantitative fitting and extraction of trap activation energies for vertical leakage in MOCVD grown GaN on silicon. Despite its rapid maturity and growing competitiveness in the emerging power electronics market, GaN-on-Silicon transistors do face a host of challenges, one of them being vertical leakage through the buffer which affects lateral 3-terminal breakdown as well. Reasons attributed for vertical leakage are un-compensated buffer layer, threading dislocations and parasitic substrate conduction. Experimental and simulation studies on vertical leakage and breakdown in C-doped GaN buffer are widely reported where Poole-Frenkel, Hopping or space-charge transports have been proposed to be the carrier transport mechanisms. However, till date, a quantitative fitting and extraction of the vertical leakage and trap activation energies for MOCVD grown GaN buffer on silicon is still lacking. In this work, a series of three MOCVD-grown samples [sample A (sinusoidal C-doped GaN buffer), sample B (flat C-doped GaN buffer) and sample C (Carbon-doped AlGaN Transition layers and GaN buffer) was investigated. The vertical I-V characteristics were measured by forming a top Ohmic contact to the 2DEG (Ti/Al/Ni/Au, rapid thermally annealed at 850 C for 30 s) and a back contact to the p-doped silicon substrate. Despite similar oxygen background levels in the samples as confirmed from SIMS, sample C had the highest vertical breakdown voltage ~ 440 V (10-4 A/mm2) and exhibited orders of magnitude lower leakage up to 300 V compared to samples A and B. The vertical transport mechanisms were investigated and extracted associated trap activation energies by measuring temperature dependent I-V on the three samples. Vertical leakage for samples A and B was characterized by hopping conduction with trap activation energies of 0.24 ev and 0.21 ev respectively. The corresponding hopping distances were extracted to be 2.26 and 1.99 nm. Leakage in Sample C was found to be governed by Poole-Frenkel conduction with trap activation energy of 0.38 ev. The energy band diagrams and the effect of substrate bias polarity were also analysed to explain the leakage and breakdown in the devices vis-à-vis the trap activation energies and substrate side carrier injection. This first quantitative fitting of vertical leakage in MOCVD grown GaN on silicon and subsequent extraction of barrier activation energy is expected to aid in the development and understanding of high breakdown GaN-onsilicon epi-layers for power electronics. 9:00 AM P03 (Student) Self-Aligned Vertical Trench Gate 15 μm-thick GaN MISFETs on Si Woojin Choi 1, Atsunori Tanaka 2 and Shadi Dayeh 1, 2 ; 1 ECE, University of California, San Diego, San Diego, California, United States; 2 Material Science Program, University of California, San Diego, La Jolla, California, United States. There is a surge in the need of efficient power conversion devices for both existing and emerging electronic technologies. Si-based high-power devices are reliable and continue to serve in a wide range of power conversion applications. Despite their low cost, Si-based power devices are inefficient and account for ~60 % power loss due to transistor switching in high power converters. It is also known that the larger bandgap GaN has higher breakdown field, higher electron mobility and saturation velocity thereby allowing GaN to have a higher Baliga power figure of merit than Si permitting the development of efficient power switches. Even though lateral GaN high-electron mobility transistor (HEMT) devices have shown excellent performance and started to penetrate the power device market, a volume conduction in vertical GaN devices is more appropriate to increase the power density per unit area that reduces the cost to become comparable to Si power devices. There have been recently many reports on the realization of vertical metal insulator semiconductor field-effect transistors (MISFETs) on GaN and Si substrates. Our team utilized selective area growth (SAG) to overcome the CTE mismatch barrier between GaN and Si and demonstrated vertical GaN transistors on Si [Tanaka et al. Adv. Mat. 29, , 2017]. Here, we report a novel self-aligned gate recess process technology for vertical trench gate MISFETs employing 15 μm thick GaN layers on a Si substrate. 62

2 The self-aligned gate recess process leads to a gate-to-source underlap distance, L GS, of 220 nm. A plasma enhanced chemical vapor deposition (PECVD) SiO 2 layer followed by anisotropic dry etching through reactive ion etching (RIE) was used as a spacer to protect a pre-deposited ohmic metal stack of Ti/Al during a BCl 3 /Cl 2 -based RIE etching for a gate trench. A tetramethylammonium hydroxide (TMAH) treatment for etched sidewall smoothening. Preliminary devices resulted in a threshold voltage (V th ) was as high as 17 V, and the on/off drain current ratio was ~10 5, and the maximum drain current was 0.45 ma/mm. The performance gains from the self-aligned process are not evident from the metrics obtained in preliminary devices because the gate trench didn t completely etch p + GaN current blocking layer. The epitaxial layer structure and the gate trench depth are currently being optimized and will be reported in the talk. The proposed process can reduce the cell pitch size as well as the series resistance at the top n + GaN layer by significantly minimizing L GS. The process tradeoffs and the overall impact of the self-aligned gate process on the device performance will be discussed. 9:20 AM P04 (Student) Negative Differential Resistance in Al-Rich AlGaN/AlN Based Double Barrier Resonant Tunneling Diodes Shun Washiyama 1, Pramod Reddy 2, Mathew H. Breckenridge 1, Biplab Sarkar 1, Felix Kaess 1, Qiang Guo 1, Yan Guan 1, Ronny Kirste 2, Seiji Mita 2, Ramón Collazo 1 and Zlatko Sitar 1 ; 1 Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina, United States; 2 Adroit Materials, Cary, North Carolina, United States. Group III-nitrides have been extensively studied for resonant tunneling diodes (RTDs) that continuously oscillate in a frequency above terahertz (THz) at room temperature (RT). Although negative differential resistance (NDR) in AlGaN/GaN and GaN/InGaN based double barrier RTDs have been demonstrated, the obtained peak to valley ratio (PVR) is limited to small value. An Al-rich AlGaN/AlN heterostructure, on the other hand, is expected to offer high device performance due to higher materials properties such as longitudinal optical phonon energy, thermal conductivity, and breakdown field. In addition, thermodynamic stability of Al-rich AlGaN enables the growth of sharp and uniform heterointerfaces. Nevertheless, no report in Al-rich AlGaN/AlN-based RTDs exists. In this study, NDR in Al-rich AlGaN/AlN based double barrier RTDs at room temperature is reported for the first time. The Al-rich AlGaN/AlN double barrier RTD structure consists of a 350 nm-thick top Si doped AlGaN contact layer, active region, 350 nm-thick bottom Si doped AlGaN contact layer grown either on an AlN/c-sapphire or AlN native substrate by metalorganic chemical vapor deposition. The active region is composed of a top AlGaN spacer, top AlN barrier, AlGaN well, bottom AlN barrier, and bottom AlGaN spacer. Thickness of each layer in the active region was confirmed by aberration-corrected scanning transmission electron microscope (STEM). Electronic properties of Si doped AlGaN contact layer was evaluated by Hall effect measurement in van der Paul geometry, with a V/Al/Ni/Au metal stack as the Ohmic contact. Cross-sectional STEM image revealed that the thickness of each layer in the active region was 1.0/0.75/1.0/0.75/1.0 nm (spacer/barrier/well/barrier/spacer). The free carrier concentration and Hall mobility in Si doped AlGaN contact layer were cm -3 and 62 cm 2 /Vs, respectively. I-V characteristics of a single AlN barrier structure showed monotonous current increase without NDR. By contrast, double barrier RTD structure clearly exhibited NDR due to resonant tunneling effect through the quasi-bound state in the quantum well. Tunneling current increased non-linearly with voltage up to 3.0 V. By increasing voltage further, tunneling current decreased abruptly. The PVR obtained was approximately 70. At a voltage around 20 V, tunneling current increased for the second bound state. Influence of barrier/well thickness on resonant tunneling transport in Al-rich AlGaN/AlN RTD structure will be discussed using self-consistent Schrödinger-Poisson solver. 9:40 AM P05 (Student) Mg Doping Profiles for Improved Hole Injection in Gallium Nitride p-i-n Diodes Jennifer Howell-Clark 1, Zhibo Guo 2, T. Paul Chow 2, Christian Wetzel 1, 3, Piao Guanxi 4, Yoshiki Yano 4, Toshiya Tabuchi 4 and Koh Matsumoto 4 ; 1 Physics, Applied Physics & Astronomy, Rensselaer Polytechnic Institute, Troy, New York, United States; 2 Electrical Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States; 3 Materials Science & Engineering, Rensselaer Polytechnic Institute, Troy, New York, United States; 4 Taiyo Nippon Sanso Corporation, Tsukuba, Japan. Gallium nitride (GaN) and its ternary alloys have been widely adopted for use in light-emitting diodes (LEDs), and increasingly in high-voltage power devices. However, modern GaN devices continue to face significant challenges. Bipolar devices such as LEDs are hindered by poor hole transport, leading to low injection efficiency of holes into the multiple quantum well (MQW) active region. This problem is attributed to low hole mobility in heavily Mg-doped GaN epilayers. Using quasi-vertical GaN p-i-n diodes (without MQW structures) as test devices, we address hole injection via engineering of the Mg doping profile, and find that a highlow (p++/p) junction p-layer delivers much higher forward current than a conventional uniformly doped p-layer in the p-i-n diodes. The high-low junction p-layer consists of a 20 nm thick p++ layer with an Mg concentration of [Mg] = 2 x cm -3 on top of a 480 nm thick layer with [Mg] = 1 x cm 3 ; the uniform p-layer has a [Mg] = 3-4 x cm -3. The p-i-n diode devices also include an n+ Si-doped layer ([Si] = 3 x cm -3 ) and an unintentionally doped (UID) drift layer in addition to the 500 nm thick p-type Mg-doped layer of different Mg concentrations. During electrical characterization, current-voltage measurements showed forward current densities as much as 100 times higher in the high-low junction p-layer, compared to the uniform p-layer devices. The high-low junction devices exhibit a strong local minima in ideality factor near the flatband voltage, which is not observed in the uniform p-layer devices. The forward voltage drop, as measured by linear extrapolation of the current-voltage data, was also lower, at 3.5 V for the high-low junction devices vs. 8.8 V for the uniform p-layer devices. Contact resistivity was determined via transfer length measurement (TLM) and was found to be much smaller than the overall differential specific series resistance of the devices. Prior to device fabrication, the epitaxial layers were characterized by secondary ion mass spectrometry (SIMS), x-ray diffraction (XRD), and lowtemperature photoluminescence (LT-PL). For structural characterization, the XRD full-width at half-maximums (FWHM) of the samples are comparable for ω-2θ scans of both the (0002) and (10-12) reflections. The FWHMs are arcsec for the (0002) reflection and arcsec for the (10-12) reflection, respectively, indicating that the density of extended defects in the two samples is comparable. In optical characterization, the LT-PL spectra of the uniform p-layer exhibits only broad features indicative of higher densities of point defects, whereas the high-low junction sample exhibits strong near-bandgap emission at 3.48 ev, and several LO phonon replicas, associated with lower defect densities. After Mg activation annealing at 650 o C, a 3.40 ev PL feature is also observed, which may be associated with impurity band formation or bandgap narrowing due to the degenerate doping in the p++ layer. We believe that the discrepancy in performance is not due to contact-related junction debiasing (since the contact resistivities are small) nor due to extended defects (since their densities are similar between the samples). Instead, high-low junction profile results in improved hole diffusion into the drift layer due to increased diffusion length, resulting in superior forward current. The prevalence of carrier diffusion is evidenced by the current-voltage and ideality factor curves of the devices, and the LT-PL spectra suggest that the high-low junction sample contains fewer point defects, resulting in a higher diffusion constant. Diffusion may also be aided by high hole density in the p++ layer due to formation of an impurity band. Overall, we believe that these improvements in hole transport have significant consequences for GaN devices. Besides immediate applications such as LEDs, improved carrier transport also has significant consequences for GaN power devices as well. 10:00 AM BREAK 10:20 AM P06 (Student) AlGaN Polarization Graded Field Effect Transistors for High Linearity Microwave Applications Shahadat H. Sohel 1, Hao Xue 1, Towhidur Razzak 1, Sanyam Bajaj 1, Yuewei Zhang 1, Jason A. Roussos 2, Andy Xie 3, Edward Beam 3, Yu Cao 3, Cathy Lee 3, David J. Meyer 2, Wu Lu 1 and Siddharth Rajan 1 ; 1 Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio, United States; 2 Naval Research Laboratory, Washington, District of Columbia, United States; 3 Qorvo, Inc, Richardson, Texas, United States. In this work, we report on the performance of MOCVD grown AlGaN channel polarization-graded Field Effect Transistor (PolFET) as a highly linear power amplifier for X-band application. Linearity is a very important criterion for high frequency devices used in communication applications since non-linear amplification leads to cross-talk between channels which can limit bandwidth. AlGaN/GaN HEMTs show a dropping transconductance profile which can affect the high frequency linearity, and this has become a significant issue especially as the technology evolves toward the 5G protocol. Here, we discuss the application of graded AlGaN channels, which can be used to realize 3-dimensional electron channels that provide more constant gain performance than 2-dimensional electron gases found in conventional AlGaN/GaN HEMTs. The compositional grading in the channel results in a polarization gradient, which creates near-constant density of electrons throughout the channel. This makes the effective channel velocity less dependent on the gate bias than in a 2DEG. Hence, unlike in an AlGaN/ 63

3 GaN HEMT, the transconductance of the PolFET does not suffer from any significant decrease at a high gate bias. Large signal simulation of polarization graded samples using Agilent ADS Harmonic Balance simulations show that linearity characteristics of PolFETs are significantly better than AlGaN/GaN HEMT devices. In this work, we discuss the design and demonstration of PolFETs for high frequency applications. The sample structure used for this study consists of a 20 nm thick MOCVD grown AlGaN channel on a GaN buffer grown on SiC. The AlGaN channel composition was linearly graded from 0% to 40%. The ohmic source-drain metal stack of Ti/Al/Ni/Au was deposited using an electron-beam evaporator. The ohmic contact was alloyed by rapid thermal annealing (RTA) at 850 C in N 2 for 30s resulting in a contact resistance of 0.6 Ω. mm. Hall measurement showed a mobility of 1160 cm 2 /V-s and a sheet charge density of cm μm Ni-Au-Ni Schottky gates were deposited using the e-beam evaporator, and then the sample was passivated with 140 nm PECVD SiN x deposited at 300 C. Transfer IV characteristics show almost flat g m for the PolFET, with a maximum g m measured to be 300 ms/mm, and the maximum drain current measured is 720 ma/mm. Pulsed IV was measured using 5 μs pulsed and 0.1% duty cycle. Pulsed IV results showed small dispersion in the form of knee walkout, but the saturation current recovered completely. On the passivated devices, the three-terminal breakdown voltage of 50 V (average breakdown field of more than 1.1 MV/cm) was measured. Small signal rf measurement showed a maximum f T and f max of 23 GHz and 65 GHz respectively for 0.7 μm gates (f T -L G product of 16.2), making it suitable for X-band application. The measurement of f T and f max over the gate bias range shows that f T and f max remain almost flat throughout, which is suggestive of improved linearity performance of the PolFETs. To demonstrate the application in X-band, we measured load-pull and two-tone characteristics for these devices at 10 GHz. The load pull measurement bias conditions were: V DS = 30 V and I DS = 33.5 ma (close to Class A). At peak PAE of 29%, the associated output power was 2 W/mm and gain was 9.6 db. Small-signal gain was approximately 16 db. Two-tone measurements were performed with the fundamental at 10 GHz and the 2 nd tone at GHz, while biased in class A. OIP3 was calculated to be 33 dbm, which gives the OIP3/P DC of 2.1. Up to ~20 dbm output power can be delivered with carrier to third harmonic ratio (C\I3) > 35 dbc. X-band power and linearity performance of PolFETs are reported for the first time. The measured devices showed excellent potential for high frequency applications where linearity is an important requirement. The authors would like to acknowledge the funding from the ONR Grant No. N (Dr. Paul Maki). 10:40 AM P07 Ultra-Wide Band Gap AlGaN Polarization-Doped Field Effect Transistor Andrew M. Armstrong 1, Brianna Klein 1, Erica Douglas 1, Torben Fortune 1, Albert Baca 1, Vincent M. Abate 1, Andrew Allerman 1, Sanyam Bajaj 2 and Siddharth Rajan 2 ; 1 Sandia National Laboratories, Albuquerque, New Mexico, United States; 2 Ohio State University, Columbus, Ohio, United States. Ultra-wide band gap (UWBG) semiconductors are attractive for high voltage power electronics and high power rf electronics because the power density of these devices is expected to scale aggressively with band gap energy (E g ). The lateral figure-of-merit for power switching increases as E g5, while the Johnson figure-of-merit increases as E g 2.5. Al x N with x > 0.7 is an attractive UWBG semiconductor for power electronics and rf applications because it combines many desirable electronic properties, including critical electric field >10 MV/cm, electron mobility (μ) > 285 cm 2 /V.s, sheet charge (n s ) > cm -2, saturation velocity (v sat ) > 2x10 7 cm/s, and Schottky barrier (φ) > 3 ev.achieving ohmic contacts and simultaneously maximizing μ and n s remain significant challenges for AlGaN transistors. Highly conductive Al x N metal-semiconductor field effect transistors (MESFETs) with ohmic contacts have been demonstrated for x = 0.75 [1], however, MESFET μ remains < 100 cm 2 / V.s. Significant improvement of μ requires increasing x > 0.8 to reduce alloy scattering, but n-type impurity doping becomes inefficacious for x > 0.8. Low μ is a concern for rf devices because the electrons might not achieve v sat when transiting very short gate lengths. UWBG AlGaN high electron mobility transistors (HEMTs) have also been reported,[2] but formation of ohmic contacts on the highly resistive AlGaN barrier remains elusive. Resistive contacts cause a voltage offset in the linear region of HEMT operation that greatly increases the on-resistance for a high voltage switch.in this work, we report an UWBG AlGaN polarization-doped field effect transistor (PolFET).[3] PolFETs are similar to MESFETs except that electrical conductivity results from distributing the polarization dipole throughout the channel via compositional grading rather than impurity doping. AlGaN PolFETs overcome low μ because, unlike impurity doping, polarization-induced doping is effective for x > 0.8 where Al-rich AlGaN μ is high. Further, ohmics are easier to achieve compared to HEMTs because the PolFET conductive channel extends all the way to the surface. Unintentionally-doped (UID) Al x N PolFETs with channel composition linearly graded from 0.7 < x < 0.85 were grown by metal organic vapor phase epitaxy on 70% UID-AlGaN templates on sapphire. Channel n s = 5.4x10 12 cm -2 and average μ = 210 cm 2 /V.s were measured by capacitance-voltage (C-V) and contactless sheet resistance. Linear Zr/Al/ Mo/Au ohmic contacts were achieved on the AlGaN surface with specific contact resistivity (ρ c ) = 1.2x10-3 ohm.cm 2. Transistors were fabricated using Ni/Au Schottky gates with φ = 3.1 ev, as measured from C-V. The combination of high μ, low ρ c and large φ resulted in a maximum current density of 30 ma/mm, >10 6 on/off ratio, and gate leakage < 10 na/mm. This is the first demonstration of an UWBG AlGaN transistor combining μ > 100 cm 2 /V.s and linear ohmic contacts, which has great promise for high voltage switching and high frequency devices. Breakdown measurements and optimized PolFET heterostructure design will be discussed.sandia National Laboratories is a multimission laboratory managed and operated by National Technology and Engineering Solutions of Sandia, LLC., a wholly owned subsidiary of Honeywell International, Inc., for the U.S. Department of Energy s National Nuclear Security Administration under contract DE-NA [1]. Bajaj et al., accepted, IEEE EDL (2018). [2]. Muhtadi et al., IEEE EDL (2017).[3]. Rajan et al., Appl. Phys. Lett (2004). 11:00 AM P08 (Student) High Al-Composition AlGaN Channel Polarization-Graded Field-Effect Transistors Towhidur Razzak 1, Hao Xue 1, Seongmo Hwang 2, Sanyam Bajaj 1, Yuewei Zhang 1, Zane Jamal-Eddine 1, Shahadat H. Sohel 1, Asif Khan 2, Wu Lu 1 and Siddharth Rajan 1 ; 1 Department of Electrical and Computer Engineering, The Ohio State University, Columbus, Ohio, United States; 2 College of Engineering and Computing, University of South Carolina, Columbia, South Carolina, United States. In this work, we report DC and small-signal RF performance characteristics of an ultra-wide bandgap (UWBG) high Al-composition AlGaN channel polarization-graded field effect transistor (PolFET) with Al composition grading from ~65% to ~82%, which demonstrates their feasibility for high frequency power applications. By using a combination of metal organic chemical vapor deposition (MOCVD) grown channel and molecular beam epitaxy (MBE) regrown contact, transistors with gate lengths of 0.7 μm were fabricated with high current density of 265 ma/ mm and f T /f max of 5.4 GHz/14.2 GHz. High breakdown fields in III-N semiconductors enable them to sustain channel charge density above cm -2 making them ideal candidates for high-speed and power amplifier applications [1]. Scaled transistors with sub-micron gate length (L G ) have high cutoff frequencies as predicted by f T = v sat /(2 π L G ) where v sat is the saturated velocity in the channel. PolFETs use the spontaneous and piezoelectric polarization in AlGaN material system to induce a bulk three-dimensional electron slab by grading the Al-composition and spreading the polarization-induced charge over the graded region. High carrier density can thus be produced in PolFETs without high impurity doping. This minimizes ionized impurity related scattering which results in higher carrier mobility and superior RF performance [2]. The sample structure used for this study consisted of a 60 nm thick MOCVD grown upward composition-graded n-type [([Si] = cm -3 )] high Alcomposition AlGaN channel (Al-composition: ~65% to ~88%) with a 50 nm thick reverse composition-graded n ++ AlGaN layer (Al-composition 70% to 0%) regrown via MBE on the MOCVD grown channel to eliminate abrupt conduction band offsets and facilitate low resistance nonalloyed contact formation. All compositions and thicknesses have been confirmed by high-resolution x-ray diffraction (HRXRD) pattern measurements and simulation. The ability to achieve high n-type doping in MBE-grown graded contact layers and high mobility in MOCVD-grown channels were the motivating factors behind using MOCVD-MBE hybrid growth. Electron-beam evaporated non-alloyed Ti/Al/Ni/Au stack was used for source/drain contacts. Gate recess etch was performed to produce a channel thickness of 45 nm (Al-composition: ~65% to ~82%) and Ni/ Au/Ni was used as the gate metal stack. Multiple samples were prepared for MBE contact optimization and starting Al-composition of 70% showed the highest current density. Transfer IV characteristics of devices with L G = 0.7 μm, L GD = 1.5 μm and L GS = 0.5 μm (V DS = +20 V) showed a pinch-off voltage of -15 V. Output electrical characteristics showed a maximum current density of 265 ma/mm (V GS = +2 V). Small signal RF performance evaluated from 100 MHz to 67 GHz showed a peak extrinsic f T and f max of 5.4 GHz and 14.2 GHz, respectively (V DS = +20 V, V GS = -4 V). To the best of our knowledge this is the first ever RF performance demonstration for high Al-composition AlGaN channel PolFETs. This demonstration of a polarization-graded transistor provides a direction for achieving ultra-wide band gap AlGaN based devices for high performance RF and power switching applications. The authors acknowledge funding from Air Force Office of Scientific Research (AFOSR Grant FA , Program 64

4 Manager Kenneth Goretta) and the DARPA DREaM program (ONR N , Program Manager Dr. Young-Kai Chen, monitored by Office of Naval Research, Program Manager Dr. Paul Maki). [1] S. Bajaj et al., Appl. Phys. Lett. 105, (2014) [2] S. Rajan et al., Appl, Phys. Lett. 84, 1591 (2004) 11:20 AM P09 Fabrication of Enhancement-Mode Al 0.45 Ga 0.55 N/Al 0.3 Ga 0.7 N HEMT by Fluorine Plasma Treatment Brianna Klein, Erica Douglas, Andrew M. Armstrong, Andrew Allerman, Vincent M. Abate and Albert Baca; Sandia National Laboratories, Albuquerque, New Mexico, United States. Ultra-wide bandgap (UWBG)[1] transistors made of AlGaN have great potential for enabling power switches with improved power conversion and high-power RF devices. These transistors have the promise of attaining high breakdown voltage without penalty to on-resistance, relative to GaN and SiC. Typical AlGaN high electron mobility transistors (HEMTs) are normally-on devices because of the innate two-dimensional electron gas of the channel layer. However, normally-off (enhancementmode) AlGaN HEMTs are desirable for their improved safety in power switching applications. Additionally, HEMT digital logic can be achieved by combining enhancement- and depletion-mode devices. Although there are many reasons to fabricate e-mode AlGaN-channel HEMTs, little has been reported on this topic, instead with the majority covering fabrication of GaN-channel e-mode HEMTs [2, 3].We report on enhancement-mode Al 0.45 Ga 0.55 N / Al 0.3 Ga 0.7 N (45/30) HEMTs[4] fabricated by fluorine-based plasma treatment of the gate surface region, prior to gate metal deposition. The 45/30 Al alloy composition was selected because it combines the benefits of large bandgap (4.5 ev), high mobility, high sheet charge (>5x10 12 cm -2 ), and Ohmic contacts (10-5 Ωcm 2 ).Standard contact lithography, electron-beam metal deposition, and silicon nitride passivation were used to fabricate HEMTs. After source and drain contact deposition and anneal, and SiN deposition, gate areas were patterned and etched (by reactive ion etch) into the SiN, and the top surface of the AlGaN was treated with fluorine plasma, where plasma exposure time was the experimental variable. Three gate-area treatments were investigated, including a control etch, which is our standard stem etch process (20% over-etch, or a 56 s CHF 3 /SF 6 ), a stem etch plus a medium fluorine exposure (360% over-etch, or a 1149 s CF 4 /O 2 ), and a stem etch plus a long fluorine exposure (450% over-etch, or a 1364 s CF 4 /O 2 ). Device fabrication was completed with a field plate metal deposition.electrical characterization revealed that the 450% over-etch fluorine-treated samples had threshold voltages up to V, and a threshold shift of approximately 3 V compared to the control samples. The maximum drain current of the fluorine-treated samples was 2.3 times lower than the control samples (dropping from 83 ma/mm to 36 ma/mm). Therefore, enhancement-mode devices were achieved, but with a penalty in maximum drain current. A comparison of sweeps sourcing the gate from negative to positive voltages and from positive to negative showed negligible drain current hysteresis in all devices, both fluorine-treated and control. Several devices also had excellent on/off current ratios greater than Additionally, the fluorine-treated devices had lower gate currents for the same applied gate voltage.this work was supported by the Laboratory Directed Research and Development program at Sandia National Laboratories. Sandia National Laboratories is a multimission laboratory managed and operated by National Technology and Engineering Solutions of Sandia, LLC., a wholly owned subsidiary of Honeywell International, Inc., for the U.S. Department of Energy s National Nuclear Security Administration under contract DE-NA [1] J. Y. Tsao et al., Advanced Electronic Materials, vol. 4, no. 1, p , 2018.[2] Yong Cai et al., IEEE Transactions on Electron Devices, vol. 53, no. 9, pp , 2006.[3] S. Chowdhury et al., IEEE Electron Device Letters, vol. 29, no. 6, pp , 2008.[4] B. A. Klein et al., Submitted IEEE Transactions Electron Devices, \ SESSION Q: III-Nitrides Epitaxial Growth I Session Chairs: Brendan Gunning and Jennifer Hite Thursday Morning, June 28, 2018 Location: University Center, Corwin East 8:20 AM Q01 In-situ Surface Science Studies of Atomic Layer Processes of GaN Surfaces in Preparation for Atomic Layer Epitaxial Growth Samantha G. Rosenberg 1, Daniel J. Pennachio 2, Max Munger 3, Christa Wagenbach 4, Virginia R. Anderson 1, Scooter Johnson 5, Neeraj Nepal 5, Alexander C. Kozen 1, Jeffrey Woodward 1, Zachary Robinson 3, Jennifer K. Hite 5, Karl F. Ludwig 4, Chris J. Palmstrøm 2, 6 and Charles R. Eddy Jr. 5 ; 1 ASEE, residing at, U.S. Naval Research Laboratory, Washington, District of Columbia, United States; 2 Materials Department, University California, Santa Barbara, Santa Barbara, California, United States; 3 Suny Brockport, Brockport, New York, United States; 4 Boston University, Boston, Massachusetts, United States; 5 U.S. Naval Research Laboratory, Washington, District of Columbia, United States; 6 Department of Electrical and Computer Engineering, University of California, Santa Barbara, Santa Barbara, California, United States. III-N semiconductors such as GaN, AlN, and InN are the basis for creating many compound ternary and quaternary semiconductor materials well suited for applications in several important technological areas, including high current, normally-off power switches. 1-3 While atomic layer deposition (ALD) is a versatile technique and has gained wide use, it does not offer the required level of crystallinity and purity needed for high-performance III-N semiconductor devices. Therefore, we have developed a technique adapted from ALD, called low temperature plasma-assisted atomic layer epitaxy (ALEp). 2 The materials we have grown using our ALEp method have shown good crystalline quality, but suffer from an incorporation of carbon. Theory has led us to believe that the substrate preparation plays a significant role in the remediation of carbon, as that surface becomes the interface for the growth of the III-N film. Therefore, using surface science techniques, we strive to develop not only a fundamental understanding of the ALEp growth process but also atomic layer processes that will result the best cleaning method for a pristine starting surface for ALEp.Here we employ in-situ surface studies of in-situ and ex-situ GaN substrate preparation and InN ALEp growth to advance fundamental understanding of the ALEp process. We conduct in-situ grazing incidence small angle x-ray scattering (GISAXS) experiments at the Cornell High Energy Synchrotron Source utilizing morphological evolution monitoring to investigate the growth surface during sample preparation, a gallium-flash-off atomic layer process (ALP) at varying temperatures, and film deposition. GISAXS information is complemented with in-vacuo x-ray photoelectron spectroscopy, reflection high-energy electron diffraction, and ex-situ atomic force microscopy studies conducted at the Palmstrøm Lab at UCSB, where we consider different exsitu sample preparation methods in contrast to our standard preparation method as a way to produce the most suitable GaN surface for our ALP/ ALEp-based approach. We have been able to determine with GISAXS that ALP-based gallium-flash-off experiments performed at higher temperatures (500 C) produce a smoother starting surface than lower temperatures. Additionally we have determined that we should only perform ~10 cycles instead of 30 as was empirically chosen previously. We have also been able to observe repeating cycles in our ALEp process with GISAXS, leading us to insights on the underlying chemical process of the gallium-flash-off ALP. With the Palmstrøm Lab at UCSB, we have determined with in-vacuo XPS and ex-situ AFM that a combination of UV/ozone exposure followed by an HF dip produces the cleanest and smoothest GaN surface. Combining these two results, ex-situ and in-situ cleaning preparation, should lead us to the best GaN starting surface to grow high quality crystalline III-N materials.[1] N. Nepal, et al., Appl. Phys. Lett. 103, (2013)[2] C. R. Eddy, Jr, et al., J. Vac. Sci. Technol. A 31(5), (2013)[3] R. S. Pengelly, et al., IEEE Trans. Microwave Theory Tech. 60, 1764 (2012) 8:40 AM Q02 (Student) GaN Heteroepitaxy on Strain Engineered (111) Si/SiGe Substrates Anushka Bansal 1, Nathan Martin 1 and Joan M. Redwing 1, 2 ; 1 Materials Science & Engineering, The Pennsylvania State University, State College, Pennsylvania, United States; 2 Materials Research Institute, The Pennsylvania State University, University Park, Pennsylvania, United States. The heteroepitaxial growth of group III-nitrides on silicon remains of significant interest for applications in solid state lighting, displays and power electronics. Typically, GaN epitaxy is carried out on (111) Si substrates due to its three-fold symmetry which is crystallographically 65

5 compatible with the (0001) plane of wurtzite GaN. However, it is challenging to grow smooth epitaxial films that are free from cracks, due to the large lattice (~-17%) and coefficient of thermal expansion (CTE) mismatches between the film and substrate. Multiple methods of strain mitigation have been developed for GaN on (111)Si including the use of AlGaN transition layers, AlN/GaN superlattices, AlN interlayers etc. Adding AlN/GaN and AlGaN layers introduces compressive epitaxial mismatch stress within the structure which offsets the tensile stress arising from growth and CTE mismatch. The addition of thick, high Al-fraction layers within the device structure can be problematic, however, due to their insulating nature and the presence of deep level states. Here, we investigated the use of strained (111) Si/Si 1-x Ge x virtual substrates for group III-nitride epitaxy as an alternative approach to strain engineering. GaN epitaxy by metalorganic chemical vapor deposition (MOCVD) on biaxially strained Si/Si 1-x Ge x / (111)Si using only a thin AlN buffer layer resulted in a surface that was relatively free from cracks compared to films grown directly on (111)Si.The studies were carried out in a custom-designed vertical quartz tube MOCVD reactor. Additional precursors (SiH 4 (10% in H 2 ) and GeH 4 (2% in H 2 )) and supply lines were added to the system to enable the growth of Si/Si 1-x Ge x heterostructures. To monitor the stress evolution in the films, multi-beam optical stress sensor (MOSS) was employed for in situ wafer curvature measurements of the films during the growth process. The curvature data obtained can be converted to the product of the film stress and the film thickness via modified Stoney s equation which provides in-depth understanding of the growth stress evolution in the film. Initial studies focused on the development of strained Si/Si 1-x Ge x epilayers employing MOSS to measure compressive stress relaxation in the compositionally graded Si 1-x Ge x layers and tensile stress in the Si cap layer. These growths were performed at a temperature of 1150 C and a total pressure of 10 Torr using a constant SiH 4 flow rate of μmol/min and GeH 4 flow rates which varied from 4.46 μmol/min to 8.93 μmol/min to vary the Si 1-x Ge x composition (x = ). From the MOSS data, the tensile stress in the thin (100 nm) Si cap layer was measured to be 0.46 GPa and subsequently the amount of strain present in the Si film was calculated to be 0.28%. These virtual substrates were then used to study the effects of strain on the nucleation and subsequent epitaxial growth of AlN/GaN. Initially, a thin layer of AlN (90 nm) was deposited on top of strained Si and then a ~1 μm thick GaN layer was deposited. A key point to note here is that the entire stack was deposited sequentially in the MOCVD reactor, and the sample surface was not exposed to atmosphere in between the strained Si/SiGe and GaN growth. In the case of direct growth on (111)Si, the GaN initiates growth on the AlN buffer layer with a compressive stress of ~-0.30 GPa which quickly transitions into tensile stress as reported previously. When the GaN/AlN is grown on strained Si/Si 0.90 Ge 0.10, the initial compressive stress is increased to ~-0.49 GPa which delays the transition to tensile stress and ultimately reduces the overall mean tensile stress and post-growth cracking in the GaN film. An increase in the tensile stress of the AlN nucleation layer was also observed with growth on Si/Si 0.90 Ge 0.10 which may be due to biaxial strain in the Si cap layer and/or changes in AlN nucleation and growth that arise from the cross-hatched surface morphology. Further studies are underway to investigate these effects. 9:00 AM Q03 Single-Crystalline Flexible III-N Film by Direct Epitaxial Growth on Metal Foil Substrate for Bendable Photonic and Electronic Devices Shahab Shervin 1, Kamrul Alam 1, Mi-Hee Ji 2, Kaveh Shervin 1, Seungkyu Oh 1, Theeradetch Detchprohm 2, Jiming Bao 1, Russell Dupuis 2 and Jae- Hyun Ryou 1 ; 1 University of Houston, Houston, Texas, United States; 2 Georgia Institute of Technology, Atlanta, Georgia, United States. This work presents a bendable single-crystalline gallium nitride (GaN) template for flexible photonic and electronic III-N based devices. We have developed directly-grown and transfer-free single-crystalline III-N films on the polycrystalline flexible Cu foil using graphene as an intermediate layer (IL). The flexible electronics and mechanically bendable devices are emerging while there are several fundamental limitations need to be overcome. Flexible device durability and stability in harsh environment and elevated temperatures, bendability, thin film materials quality, production cost, and device performance are the most challenging subjects. In this method, an inorganic (metal) foil is developed to serve as a flexible substrate for III-N materials and devices, which can stand high-growth temperatures to reach device-quality III-N materials. The easily implementable and transfer-free features make this method a scalable platform for roll-to-roll growth and continues production of high quality III-N materials on bendable substrates for fabrication of flexible/stretchable electronic and photonic devices. Graphene is grown on the Cu foil by wellestablished chemical vapor deposition (CVD) method. AlN seed layer was deposited on graphene intermediate layer via DC magnetron reactive ion sputtering method using pure Al as the target. Standard blue-led structure including unintentionally doped GaN buffer layer (UID GaN ~1 μm)/ndoped GaN film (n-gan:si ~1.5 μm)/five periods of altering InGaN/GaN MQWs/p-doped GaN film (p-gan:mg ~220 nm) is grown by metalorganic chemical vapor deposition (MOCVD) method on flexible AlN/graphene/Cu foil. The crystal structure and surface morphology of AlN and GaN layers are characterized. X-ray diffraction analyses (XRD) show that the c-plane of AlN film (from (0002) peak in 2θ-ω scan) is preferably grown on graphene, and GaN is grown epitaxially on the AlN/Cu. XRD phi scan on GaN (101-2) plane show six-fold symmetry features which confirms the single-crystal-like wurtzite structure is formed during growth. Also, electron back-scattering diffraction (EBSD) pole figure analyses on GaN (101-2) plane confirms high crystalline quality of GaN film. Using XRD and photoluminescence (PL) characterization methods, it is confirmed that MQW layers were grown successfully. Clear satellite peaks in XRD omega scan show that MQWs are relatively smooth. The PL map also confirms the uniformity of the LED structure on Cu foil. The directly grown epitaxial III-N films on Cu tape using graphene IL are easily bendable. Our current focus is put on the fabrication of the grown LED structure on Cu foil. This method enables low-cost and large-scale production of III-N based electronic and photonic devices by offering potential roll-to-roll and continuous fabrication on the developed flexible GaN template serving as a flexible platform for various bendable III-N based devices. The bendability feature of this method brings numerous versatile applications to the electronic industry of which the rigid substrate is incapable. 9:20 AM Q04 Semipolar (10-1-1) GaN Grown on Patterned N-Plane Sapphire Substrate Jin-Ho Kang 1, Jie Song 1, 2, Joowon Choi 1, 2 and Jung Han 1 ; 1 Electrical Engineering, Yale University, New Haven, Connecticut, United States; 2 Saphlux Inc., Branford, Connecticut, United States. Current commercial light-emitting diodes (LEDs) grown on c-plane gallium nitride (GaN) suffer from polarization-related efficiency problems due to the natural piezoelectric property of its polar-plane. The high polarization field in LEDs decreases carrier recombination rate and increases electron leakage, effective barrier height for hole injection, and Auger recombination, resulting in significantly low efficiency either/both at long wavelength or/and high current density. On the other hand, owing to the low polarization, semipolar LEDs grown on bulk GaN substrates have shown higher efficiency and lower efficiency droop than c-plane LEDs. Among all the semipolar orientations, Nitrogen-polar (N-polar) type planes, such as (10-1-1) and (20-2-1), have the opposite directions of polarization field and depletion field in p-n junction, so that two field can cancel and net electric field strength in QW becomes low. In particular, as well as high In incorporation efficiency, very low polarization of (10-1-1) GaN is beneficial for highly efficient long-wavelength LEDs. However, the bulk semipolar GaN substrates tend to be expensive, limited in the dimension, and incompatible with mass production facilities in epitaxy and processing. Therefore, it is highly desirable to grow high-quality semipolar GaN on large-diameter sapphire or silicon substrates. But, because the difficulties in the growth and polarity control of N-polar GaN hinder N-polar type semipolar GaN growth, the (10-1-1) GaN growth on sapphire or silicon substrates has not been demonstrated yet. Herein, we grew the high-quality (10-1-1) GaN on a patterned (11-23) sapphire substrate (N-PSS) and studied the polarity inversion and stacking fault generation in (10-1-1) GaN.N-polar GaN was selectively grown on the c-facet of the N-PSS and its polarity was confirmed by KOH etching (Figure 1a and b). It was revealed that purely N-polar GaN was grown and polarity was not inverted during the epitaxial lateral overgrowth. As the GaN growth time increased, GaN islands coalesced and it resulted in planar (10-1-1) GaN (Figure 1c). The orientation of the planar (10-1-1) GaN was measured by XRD 2 theta/omega scan (Figure 2a). Only two peaks corresponding to GaN (10-1-1) and sapphire (11-23) diffraction are visible. To characterize the crystal quality of 2-µmthick (10-1-1) GaN, XRD rocking measurement with (10-1-1) diffraction was conducted. The full-width-at-half-maximums of (10-1-1) GaN with rocking axis parallel and perpendicular to patterned stripes are 630 and 692 arcsec, respectively (Figure 2b).This work is partially supported by Saphlux Inc ( Saphlux.com/). Jung Han is a cofounder of Saphlux and acknowledges that he has a significant financial interest with Saphlux. 9:40 AM Q05 (Student) Influence of Mask Material in Controlling the Electrical Properties of Selective Area Epitaxially Grown AlGaN-GaN Microstructures Isra Mahaboob 1, Kasey Hogan 1, Emma Rocco 1, Randy P. Tompkins 2, Nathan Lazarus 2 and Fatemeh (Shadi) Shahedipour-Sandvik 1 ; 1 Nanoscale Science and Engineering, Colleges of Nanoscale Science and Engineering, SUNY Polytechnic Institute, Albany, New York, United States; 2 U.S. Army Research Laboratory, Adelphi, Maryland, United States. Selective area epitaxy (SAE) based growth techniques have been successfully demonstrated by numerous groups to improve the crystal 66

Gallium Nitride Based HEMT Devices

Gallium Nitride Based HEMT Devices Gallium Nitride Based HEMT Devices Keyan Zang SMA5111/6.772 Compound Semiconductor Materials and Devices May 14 th, 2003 Courtesy of Keyan Zang. Used with permission. Outline Introduction Device Structure

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/327/5961/60/dc1 Supporting Online Material for Polarization-Induced Hole Doping in Wide Band-Gap Uniaxial Semiconductor Heterostructures John Simon, Vladimir Protasenko,

More information

N-face high electron mobility transistors with a GaN-spacer

N-face high electron mobility transistors with a GaN-spacer phys. stat. sol. (a) 204, No. 6, 2049 2053 (2007) / DOI 10.1002/pssa.200674879 N-face high electron mobility transistors with a GaN-spacer M. H. Wong *, 1, S. Rajan 1, R. M. Chu 1, T. Palacios **, 1, C.

More information

Ultra-Wide Bandgap AlGaN Channel MISFET with Graded Heterostructure Ohmic Contacts

Ultra-Wide Bandgap AlGaN Channel MISFET with Graded Heterostructure Ohmic Contacts Ultra-Wide Bandgap AlGaN Channel MIFET with Graded Heterostructure Ohmic Contacts anyam Bajaj 1, F. Akyol 1,. Krishnamoorthy 1, Y. Zhang 1,. Rajan 1 1 epartment of Electrical and Computer Engineering The

More information

Quarterly Report EPRI Agreement W

Quarterly Report EPRI Agreement W Quarterly Report EPRI Agreement W08069-07 PI: S.J. Pearton, University of Florida (Co-investigators F. Ren, C.R. Abernathy, R.K. Singh, P.H. Holloway, T.J. Anderson, M. Berding, A. Sher, S. Krishnimurthy,

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Hetero-epitaxy of III-V Compounds by MOCVD on Silicon Substrates

Hetero-epitaxy of III-V Compounds by MOCVD on Silicon Substrates 1.119/1.33795 The Electrochemical Society Hetero-epitaxy of III-V Compounds by MOCVD on Silicon Substrates Chak Wah TANG, Zhenyu ZHONG, and Kei May LAU Department of Electronic and Computer Engineering

More information

Substrate Effects on Transport and Dispersion in Delta- Doped β-ga 2 O 3 Field Effect Transistors

Substrate Effects on Transport and Dispersion in Delta- Doped β-ga 2 O 3 Field Effect Transistors 1 EMC 2018 joishi.1@osu.edu rajan.21@osu.edu Substrate Effects on Transport and Dispersion in Delta- Doped β-ga 2 O 3 Field Effect Transistors Chandan Joishi, Zhanbo Xia, Joe McGlone, Yuewei Zhang, Aaron

More information

High Performance AlGaN Heterostructure Field-Effect Transistors

High Performance AlGaN Heterostructure Field-Effect Transistors Kyma Inc. Contract ABR DTD 1/8/07; Prime: FA8650-06-C-5413 1 High Performance AlGaN Heterostructure Field-Effect Transistors Program Objectives The primary objectives of this program were to develop materials

More information

INTEGRATION OF N- AND P-CONTACTS TO GaN-BASED LIGHT EMITTING DIODES

INTEGRATION OF N- AND P-CONTACTS TO GaN-BASED LIGHT EMITTING DIODES International Journal of High Speed Electronics and Systems Vol. 20, No. 3 (2011) 521 525 World Scientific Publishing Company DOI: 10.1142/S0129156411006817 INTEGRATION OF N- AND P-CONTACTS TO GaN-BASED

More information

Enhancement-mode AlGaN/GaN high electronic mobility transistors with thin barrier

Enhancement-mode AlGaN/GaN high electronic mobility transistors with thin barrier Enhancement-mode AlGaN/GaN high electronic mobility transistors with thin barrier Ma Xiao-Hua( ) a)b), Yu Hui-You( ) a), Quan Si( ) b), Yang Li-Yuan( ) b), Pan Cai-Yuan( ) a), Yang Ling( ) b), Wang Hao(

More information

AlGaN/GaN HFETs on 100 mm Silicon Substrates for Commercial Wireless Applications

AlGaN/GaN HFETs on 100 mm Silicon Substrates for Commercial Wireless Applications Materials Technology Using Si as a substrate material for GaN based devices enables a variety of applications and manufacturing technologies. The 100 mm Si substrate platform allows use of larger state-of-the-art

More information

Silicon-on-insulator (SOI) was developed in the

Silicon-on-insulator (SOI) was developed in the 66 Silicon-on-insulator substrates for compound semiconductor applications Mike Cooke reports on research developments reaching towards high-power electronics and infrared optical communications. Silicon-on-insulator

More information

Taiyo Nippon Sanso. Advancing UV LEDs and power devices. The precarious promise of 5G. Evaluating the III-V MOSFET. Smart options for the infrared LED

Taiyo Nippon Sanso. Advancing UV LEDs and power devices. The precarious promise of 5G. Evaluating the III-V MOSFET. Smart options for the infrared LED Volume 22 Issue 3 April / May 2016 @compoundsemi www.compoundsemiconductor.net The precarious promise of 5G Evaluating the III-V MOSFET Smart options for the infrared LED Taiyo Nippon Sanso Advancing UV

More information

Chapter 6. AlGaAs/GaAs/GaAs Wafer-fused HBTs

Chapter 6. AlGaAs/GaAs/GaAs Wafer-fused HBTs Chapter 6. AlGaAs/GaAs/GaAs Wafer-fused HBTs 6.1. Overview Previous chapters described an AlGaAs-GaAs-GaN HBT, in which an epitaxially grown AlGaAs-GaAs emitter-base was wafer-fused to a GaN collector.

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

Developing market for normally-off nitride power electronics

Developing market for normally-off nitride power electronics 82 Technology focus: Nitride transistors Developing market for normally-off nitride power electronics With Japanese companies sampling normally-off gallium nitride transistors, Mike Cooke looks at some

More information

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ž. Surface and Coatings Technology 131 000 465 469 Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ha Jin Kim, Ho-Sun Paek, Ji-Beom Yoo Department

More information

Molecular Beam Epitaxial Growth of AlN/GaN Multiple Quantum Wells

Molecular Beam Epitaxial Growth of AlN/GaN Multiple Quantum Wells Mat. Res. Soc. Symp. Proc. Vol. 743 2003 Materials Research Society L6.2.1 Molecular Beam Epitaxial Growth of AlN/GaN Multiple Quantum Wells Hong Wu, William J. Schaff, and Goutam Koley School of Electrical

More information

Improve the performance of MOCVD grown GaN-on-Si HEMT structure

Improve the performance of MOCVD grown GaN-on-Si HEMT structure Improve the performance of MOCVD grown GaN-on-Si HEMT structure Dr. Xiaoqing Xu Stanford Nanofabrication Facility Abstract The SNF installed a new metalorganic chemical vapor deposition (MOCVD) system

More information

Low Thermal Budget NiSi Films on SiGe Alloys

Low Thermal Budget NiSi Films on SiGe Alloys Mat. Res. Soc. Symp. Proc. Vol. 745 2003 Materials Research Society N6.6.1 Low Thermal Budget NiSi Films on SiGe Alloys S. K. Ray 1,T.N.Adam,G.S.Kar 1,C.P.SwannandJ.Kolodzey Department of Electrical and

More information

The low dislocation gallium nitride layer by AP-MOCVD. Abstract

The low dislocation gallium nitride layer by AP-MOCVD. Abstract The low dislocation gallium nitride layer by AP-MOCVD Fu-Chuan Chu, Sheng-Fu Yu, Chao-Hung Chen, Chou-Shuang Huang, Ray-Ming Lin* Dept. of Electronics Engineering, Chang Gung University, Taoyuan, Taiwan,

More information

4-NM AlN BARRIER ALL BINARY HFET WITH SiN x GATE DIELECTRIC

4-NM AlN BARRIER ALL BINARY HFET WITH SiN x GATE DIELECTRIC International Journal of High Speed Electronics and Systems Vol. 19, No. 1 (29) 153 159 World Scientific Publishing Company 4-NM AlN BARRIER ALL BINARY HFET WITH SiN x GATE DIELECTRIC TOM ZIMMERMANN, YU

More information

Electrochemical Oxidation, Threading Dislocations and the Reliability of GaN HEMTs

Electrochemical Oxidation, Threading Dislocations and the Reliability of GaN HEMTs Electrochemical Oxidation, Threading Dislocations and the Reliability of GaN HEMTs Carl V. Thompson 1,3 Dept. of Materials Science and Engineering, M.I.T. Primary collaborators: Wardhana A. Sasangka 1,

More information

Antimony-based Quaternary Alloys for High-Speed Low-Power Electronic Devices

Antimony-based Quaternary Alloys for High-Speed Low-Power Electronic Devices Antimony-based Quaternary Alloys for High-Speed Low-Power Electronic Devices R. Magno * 1, B. R. Bennett 1, K. Ikossi 1, M. G. Ancona 1, E. R. Glaser 1, N. Papanicolaou 1, J. B. Boos 1, B. V. Shanabrook

More information

Materials Aspects of GaAs and InP Based Structures

Materials Aspects of GaAs and InP Based Structures AT&T Materials Aspects of GaAs and InP Based Structures V. Swaminathan AT&T Belt Laboratories Breinigsvil/e, Pennsylvania A. T. Macrander Argonne National Laboratory Argonne, Illinois m Prentice Hall,

More information

Assignment Questions

Assignment Questions HIGH SPEED DEVICES AND CIRCUITS Assignment Questions 1) Why Silicon Semiconductors are widely used in the VLSI applications? Hint: Refer Video on Introduction to Basic Concepts 2) What are the parameters

More information

Disruptive technological routes to monitor temperature and improve thermal management in GaN HEMTs

Disruptive technological routes to monitor temperature and improve thermal management in GaN HEMTs 1 Disruptive technological routes to monitor temperature and improve thermal management in GaN HEMTs M. LESECQ (1), F. COZETTE (1), M. ABOU DAHER (1), M-R. IREKTI (1), M. BOUCHERTA (1), N. DEFRANCE (1),

More information

Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN

Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN E. Dogmus, A. Linge, T. Defais, R. Kabouche, R. Pecheux, M. Zegaoui Lille city centre Where are we? National Network of Large Technological

More information

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Heterostructures of Oxides and Semiconductors - Growth and Structural Studies Beamline 17B1 W20 X-ray Scattering beamline Authors M. Hong and J. R. Kwo National Tsing Hua University, Hsinchu, Taiwan H.

More information

ECCI of AlGaN/GaN HEMT structures grown on Si

ECCI of AlGaN/GaN HEMT structures grown on Si ECCI of AlGaN/GaN HEMT structures grown on Si D. Thomson 1, G. Naresh-Kumar 1, B. Hourahine 1, C. Trager-Cowan 1, P. Wright 2 and T. Martin 2 1 Dept. Of Physics, SUPA, University of Strathclyde, Glasgow

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

Bulk crystal growth. A reduction in Lg will increase g m and f oper but with some costs

Bulk crystal growth. A reduction in Lg will increase g m and f oper but with some costs Bulk crystal growth The progress of solid state device technology has depended not only on the development of device concepts but also on the improvement of materials. A reduction in Lg will increase g

More information

Synthesis and application of titanium nitride for gallium nitride electron devices

Synthesis and application of titanium nitride for gallium nitride electron devices Synthesis and application of titanium nitride for gallium nitride electron devices Liuan Li A thesis submitted for the degree of doctor of philosophy Department of electrical and electronic engineering

More information

Veeco. Propelling GaN power electronics. Building better switches with GaN. Exposing SiC with Raman microscopy. Reducing droop with V-shaped pits

Veeco. Propelling GaN power electronics. Building better switches with GaN. Exposing SiC with Raman microscopy. Reducing droop with V-shaped pits Volume 21 Issue VII October 2015 @compoundsemi www.compoundsemiconductor.net Building better switches with GaN Exposing SiC with Raman microscopy Veeco Reducing droop with V-shaped pits Propelling GaN

More information

Deposition and characterization of sputtered ZnO films

Deposition and characterization of sputtered ZnO films Superlattices and Microstructures 42 (2007) 89 93 www.elsevier.com/locate/superlattices Deposition and characterization of sputtered ZnO films W.L. Dang, Y.Q. Fu, J.K. Luo, A.J. Flewitt, W.I. Milne Electrical

More information

Applications for HFETs

Applications for HFETs Applications for HFETs Ga-face Quantum well is formed at the interface AlGaN GaN Buffer P SP P SP P PE -σ s +σ int 2DEG + ve φ b d σ comp AlGaN σ int E 0 GaN E c E F c-plane sapphire σ 2DEG σ surf Higher

More information

SiGeC Cantilever Micro Cooler

SiGeC Cantilever Micro Cooler Mat. Res. Soc. Symp. Proc. Vol. 793 2004 Materials Research Society S11.3.1 SiGeC Cantilever Micro Cooler Gehong Zeng, Ali Shakouri 1 *, Edward Croke 2, Yan Zhang 1, James Christofferson 1 and John E.

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water Philippe P. de Rouffignac, Roy G. Gordon Dept. of Chemistry,, Cambridge, MA gordon@chemistry.harvard.edu (617) 495-4017

More information

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS

3.46 OPTICAL AND OPTOELECTRONIC MATERIALS Badgap Engineering: Precise Control of Emission Wavelength Wavelength Division Multiplexing Fiber Transmission Window Optical Amplification Spectrum Design and Fabrication of emitters and detectors Composition

More information

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED Y. H. Lin and C. Y. Liu Department of Chemical Engineering and Materials Engineering, National Central University, Jhongli,

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Effective Mg activation for p-type GaN in mixed gas ambient of oxygen and nitrogen Wei Lu 1,2, David Aplin 2, A. R. Clawson 2 and Paul K. L.

Effective Mg activation for p-type GaN in mixed gas ambient of oxygen and nitrogen Wei Lu 1,2, David Aplin 2, A. R. Clawson 2 and Paul K. L. Effective Mg activation for p-type GaN in mixed gas ambient of oxygen and nitrogen Wei Lu 1,2, David Aplin 2, A. R. Clawson 2 and Paul K. L. Yu 2 1 Zhejiang University, Zhejiang, PRC 2 Calit2, University

More information

Introduction to Nitride Semiconductor Blue Lasers and Light Emitting Diodes

Introduction to Nitride Semiconductor Blue Lasers and Light Emitting Diodes Introduction to Nitride Semiconductor Blue Lasers and Light Emitting Diodes Edited by Shuji Nakamura and Shigefusa F. Chichibu London and New York Contents 1. Basics Physics and Materials Technology of

More information

OUTLINE. Preparation of III Nitride thin 6/10/2010

OUTLINE. Preparation of III Nitride thin 6/10/2010 Preparation of III Nitride thin films for LEDs Huaxiang Shen Supervisor: Dr. Adrian Kitai 1 2 Two kinds of EL devices Light emitting diodes Powder EL and thin film EL http://en.wikipedia.org/wiki/file:pnjunction

More information

Passivation of InAs and GaSb with novel high dielectrics

Passivation of InAs and GaSb with novel high dielectrics Passivation of InAs and GaSb with novel high dielectrics Professor Minghwei HONG Department of Materials Science and Engineering, National Tsing Hua University 101, Section 2, Kuang-Fu Rd., Hsinchu, Taiwan,

More information

Ex-situ Ohmic Contacts to n-ingaas

Ex-situ Ohmic Contacts to n-ingaas High Doping Effects on In-situ and Ex-situ Ohmic Contacts to n-ingaas Ashish Baraskar*, Mark A. Wistey, Vibhor Jain, Uttam Singisetti, Greg Burek, Brian J. Thibeault, Arthur C. Gossard and Mark J. W. Rodwell

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

SiC high voltage device development

SiC high voltage device development SiC high voltage device development 2006. 11. 30 KERI Power Semiconductor Group outline 1. Device design & simulation for power devices 2. SiC power diode process development Ion implantation & activation

More information

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Crystalline Silicon Solar Cells With Two Different Metals Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8588,

More information

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh Nucleation and growth of nanostructures and films Seongshik (Sean) Oh Outline Introduction and Overview 1. Thermodynamics and Kinetics of thin film growth 2. Defects in films 3. Amorphous, Polycrystalline

More information

0HE, United Kingdom. United Kingdom , Japan

0HE, United Kingdom. United Kingdom , Japan Tel. No.: 81-45-924-5357 Fax No.: 81-45-924-5339 e-mail: tkamiya@msl.titech.ac.jp Effects of Oxidation and Annealing Temperature on Grain Boundary Properties in Polycrystalline Silicon Probed Using Nanometre-Scale

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Detrimental effects of dislocations II

Detrimental effects of dislocations II Detrimental effects of dislocations II Band diagram around a charged dislocation e - - - - - - - - Charged dislocation line Electrons get scattered by charged dislocations Mobility vs. sheet charge in

More information

InGaN quantum dot based LED for white light emitting

InGaN quantum dot based LED for white light emitting Emerging Photonics 2014 InGaN quantum dot based LED for white light emitting Luo Yi, Wang Lai, Hao Zhibiao, Han Yanjun, and Li Hongtao Tsinghua National Laboratory for Information Science and Technology,

More information

III III a IIOI OlD IIO OlD 110 II II III lulu II OI IIi

III III a IIOI OlD IIO OlD 110 II II III lulu II OI IIi (19) United States III III a IIOI OlD IIO 1101 100 1101 OlD 110 II II III lulu II OI IIi US 20060270076A1 (12) Patent Application Publication (10) Pub. No.: US 2006/0270076 Al Imer et al. (43) Pub. Date:

More information

Improving performance of InGaN LEDs on sapphire substrates

Improving performance of InGaN LEDs on sapphire substrates 80 Improving performance of InGaN LEDs on sapphire substrates Mike Cooke reports on research into semipolar growth, quantum well barrier composition and zinc oxide enhancements. Commercial indium gallium

More information

Ultra Low Resistance Ohmic Contacts to InGaAs/InP

Ultra Low Resistance Ohmic Contacts to InGaAs/InP Ultra Low Resistance Ohmic Contacts to InGaAs/InP Uttam Singisetti*, A.M. Crook, E. Lind, J.D. Zimmerman, M. A. Wistey, M.J.W. Rodwell, and A.C. Gossard ECE and Materials Departments University of California,

More information

Current Gain Dependence on Subcollector and Etch-Stop Doping in InGaP/GaAs HBTs

Current Gain Dependence on Subcollector and Etch-Stop Doping in InGaP/GaAs HBTs IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 48, NO. 5, MAY 2001 835 Current Gain Dependence on Subcollector and Etch-Stop Doping in InGaP/GaAs HBTs Theodore Chung, Seth R. Bank, John Epple, and Kuang-Chien

More information

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer Mol. Cryst. Liq. Cryst., Vol. 476, pp. 157=[403] 163=[409], 2007 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421400701735673 The Effect of Interfacial

More information

ANNEALING. wwwworldscientific.com. TRAP BEHAVIOR IN AlGaN/GaN HEMTs BY POST-GATE-

ANNEALING. wwwworldscientific.com. TRAP BEHAVIOR IN AlGaN/GaN HEMTs BY POST-GATE- International Journal of High Speed Electronics and Systems Vol. 14, No. 3 (2004) 769774 ( World Scientific Publishing Company World Scientific wwwworldscientific.com TRAP BEHAVIOR IN AlGaN/GaN HEMTs BY

More information

Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source

Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source Influence of Underlayer on Crystallography and Roughness of Aluminum Nitride Thin Film Reactively Sputtered by Ion-Beam Kaufman Source GABLECH Imrich 1,*, SVATOŠ Vojtěch 1,, PRÁŠEK Jan 1,, HUBÁLEK Jaromír

More information

EXPERIMENTAL STUDIES Of NEW GaAs METAL=INSULATOR=p-n þ SWITCHES USING LOW TEMPERATURE OXIDE

EXPERIMENTAL STUDIES Of NEW GaAs METAL=INSULATOR=p-n þ SWITCHES USING LOW TEMPERATURE OXIDE Active and Passive Elec. Comp., 2002, Vol. 25, pp. 233 237 EXPERIMENTAL STUDIES Of NEW GaAs METAL=INSULATOR=p-n þ SWITCHES USING LOW TEMPERATURE OXIDE K. F. YARN* Far East College, Department of Electrical

More information

Making III-V contact with silicon substrates

Making III-V contact with silicon substrates 106Technology focus: III-Vs on silicon Making III-V contact with silicon substrates High-speed logic, high-frequency/high-power transistors and photonics systems could benefit from marrying with silicon

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

EFFECT OF Li ION IRRADIATION (OF 20 MeV) ON RELIABILITY OF AlGaN/GaN HIGH ELECTRON MOBILITY TRANSISTORS

EFFECT OF Li ION IRRADIATION (OF 20 MeV) ON RELIABILITY OF AlGaN/GaN HIGH ELECTRON MOBILITY TRANSISTORS Journal of Electron Devices, Vol. 20, 2014, pp. 1740-1745 JED [ISSN: 1682-3427 ] EFFECT OF Li ION IRRADIATION (OF 20 MeV) ON RELIABILITY OF AlGaN/GaN HIGH ELECTRON MOBILITY TRANSISTORS Rupesh K.Chaubey

More information

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing E.A. (Gene) Fitzgerald M.J. Mori, C.L.Dohrman, K. Chilukuri MIT Cambridge, MA USA Funding: MARCO IFC and Army

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

2014 NOBEL LECTURE IN PHYSICS

2014 NOBEL LECTURE IN PHYSICS Background Story of the Invention of Efficient Blue InGaN Light Emitting Diodes SHUJI NAKAMURA SOLID STATE LIGHTING AND ENERGY ELECTRONICS CENTER MATERIALS AND ECE DEPARTMENTS UNIVERSITY OF CALIFORNIA,

More information

AlGaN/GaN based HEMT Device for High Power Applications

AlGaN/GaN based HEMT Device for High Power Applications AlGaN/GaN based HEMT Device for High Power Applications 1 Kajal Jain, 2 Shivani Saxena 1 M.tech VLSI, Banasthali Vidyapith 2 Assistant Professor, Department of Electronics, Banasthali Vidyapith, Banasthali,

More information

AIST, 2 CREST/AIST, 3 Univ. Of Tsukuba

AIST, 2 CREST/AIST, 3 Univ. Of Tsukuba A. Traoré 1, A. Nakajima 1, T. Makino 1,2, D. Kuwabara 1,2,3, H. Kato 1,2, M. Ogura 1,2, D. Takeuchi 1,2, and S. Yamasaki 1,2,3 1 AIST, 2 CREST/AIST, 3 Univ. Of Tsukuba aboulaye.traore@aist.go.jp Diamond

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Supplementary Figure 1. (a-d). SEM images of h-bn film on iron foil with corresponding Raman spectra. Iron foil was reused for re-growth of h-bn

Supplementary Figure 1. (a-d). SEM images of h-bn film on iron foil with corresponding Raman spectra. Iron foil was reused for re-growth of h-bn Supplementary Figure 1. (a-d). SEM images of h-bn film on iron foil with corresponding Raman spectra. Iron foil was reused for re-growth of h-bn after bubbling transfer. Scale bars (ad) 20 μm. Supplementary

More information

Study on the hydrogenated ZnO-based thin film transistors

Study on the hydrogenated ZnO-based thin film transistors Final Report Study on the hydrogenated ZnO-based thin film transistors To Dr. Gregg Jessen Asian Office of Aerospace Research & Development April 30th, 2011 Jae-Hyung Jang School of Information and Communications

More information

TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE

TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE W.L. Sarney 1, L. Salamanca-Riba 1, V. Ramachandran 2, R.M Feenstra 2, D.W. Greve 3 1 Dept. of Materials & Nuclear Engineering,

More information

Ferromagnetic transition in Ge 1 x Mn x Te semiconductor layers

Ferromagnetic transition in Ge 1 x Mn x Te semiconductor layers Materials Science-Poland, Vol. 25, No. 2, 2007 Ferromagnetic transition in Ge 1 x Mn x Te semiconductor layers W. KNOFF *, P. DZIAWA, V. OSINNIY, B. TALIASHVILI, V. DOMUCHOWSKI, E. ŁUSAKOWSKA, K. ŚWIĄTEK,

More information

Optical pumping and final metal investigation

Optical pumping and final metal investigation Optical pumping and final metal investigation FLOORS Optical pumping of unstressed device Optical pumping of stressed device Stressing points Trap analysis t=0, As Built t>0, Degradation Final Metal Study

More information

MOVPE growth of GaN and LED on (1 1 1) MgAl

MOVPE growth of GaN and LED on (1 1 1) MgAl Journal of Crystal Growth 189/190 (1998) 197 201 MOVPE growth of GaN and LED on (1 1 1) Shukun Duan *, Xuegong Teng, Yutian Wang, Gaohua Li, Hongxing Jiang, Peide Han, Da-Cheng Lu National Integrated Optoelectronics

More information

AFRL-SR-AR-TR

AFRL-SR-AR-TR REPORT DOCUMENTATION PAGE AFRL-SR-AR-TR-10-0203 The public reporting burden for this collection of information is estimated to average 1 hour per response, including th

More information

1.1 Research Background of Copper Metallization for GaAs-based

1.1 Research Background of Copper Metallization for GaAs-based Chapter 1 Introduction 1.1 Research Background of Copper Metallization for GaAs-based Devices In the past decades, GaAs ICs are generally used for military purposes, such as satellite, radar and etc. With

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Synchrotron X-Ray Topography Measurements on 4H-SiC Epitaxial Layer

Synchrotron X-Ray Topography Measurements on 4H-SiC Epitaxial Layer Synchrotron X-Ray Topography Measurements on 4H-SiC Epitaxial Layer Isaho KAMATA, Central Research Institute of Electric Power Industry (CRIEPI) Kamata@criepi.denken.or.jp Silicon carbide has excellent

More information

Cubic GaN Light Emitting Diode Grown by Metalorganic Vapor-Phase Epitaxy

Cubic GaN Light Emitting Diode Grown by Metalorganic Vapor-Phase Epitaxy TANAKA IEICE TRANS. and NAKADAIRA: ELECTRON., VOL. CUBIC E83-C, GaN LIGHT NO. 4 APRIL EMITTING 2000 DIODE 585 PAPER Special Issue on Blue Laser Diodes and Related Devices/Technologies Cubic GaN Light Emitting

More information

ATOMIC LAYER DEPOSITION OF 2D TRANSITION METAL DICHALOGENIDES

ATOMIC LAYER DEPOSITION OF 2D TRANSITION METAL DICHALOGENIDES ATOMIC LAYER DEPOSITION OF 2D TRANSITION METAL DICHALOGENIDES Annelies Delabie, M. Caymax, B. Groven, M. Heyne, K. Haesevoets, J. Meersschaut, T. Nuytten, H. Bender, T. Conard, P. Verdonck, S. Van Elshocht,

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:.38/nphoton..7 Supplementary Information On-chip optical isolation in monolithically integrated nonreciprocal optical resonators Lei Bi *, Juejun Hu, Peng Jiang, Dong Hun

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan Amorphous In 2 O 3 -Ga 2 O 3 -ZnO Thin Film Transistors and Integrated Circuits on Flexible and Colorless Polyimide Substrates Hsing-Hung Hsieh, and Chung-Chih Wu* Graduate Institute of Electronics Engineering,

More information

Previous Lecture. Vacuum & Plasma systems for. Dry etching

Previous Lecture. Vacuum & Plasma systems for. Dry etching Previous Lecture Vacuum & Plasma systems for Dry etching Lecture 9: Evaporation & sputtering Objectives From this evaporation lecture you will learn: Evaporator system layout & parts Vapor pressure Crucible

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

Optimized structural properties of wurtzite GaN on SiC(0001) grown by molecular beam epitaxy

Optimized structural properties of wurtzite GaN on SiC(0001) grown by molecular beam epitaxy Optimized structural properties of wurtzite GaN on SiC(0001) grown by molecular beam epitaxy V. Ramachandran and R. M. Feenstra Department of Physics, Carnegie Mellon University, Pittsburgh, PA 15213 W.

More information

Relation between Microstructure and 2DEG Properties of AlGaN/GaN Structures

Relation between Microstructure and 2DEG Properties of AlGaN/GaN Structures 2. Experimental The AlGaN/GaN structures have been grown by low-pressure metalorganic vapor phase epitaxy (LP-MOVPE) on c-plane sapphire substrates [2], using ammonia, TMGa and TMAl as precursors. After

More information

1 HRL Laboratories, LLC, Malibu, California, Baskin School of Engineering, University of California, Santa Cruz, CA *

1 HRL Laboratories, LLC, Malibu, California, Baskin School of Engineering, University of California, Santa Cruz, CA * High Cooling Power Density of SiGe/Si Superlattice Microcoolers Gehong Zeng, Xiaofeng Fan, Chris LaBounty, John E. Bowers, Edward Croke, James Christofferson, Daryoosh Vashaee, Yan Zhang, and Ali Shakouri

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

OPTICAL MODE PATTERN STUDY OF GAN BASED LEDS WITH AND WITHOUT NANOSCALE TOP GRATING

OPTICAL MODE PATTERN STUDY OF GAN BASED LEDS WITH AND WITHOUT NANOSCALE TOP GRATING OPTICAL MODE PATTERN STUDY OF GAN BASED LEDS WITH AND WITHOUT NANOSCALE TOP GRATING by Greg Chavoor Senior Project ELECTRICAL ENGINEERING DEPARTMENT California Polytechnic State University San Luis Obispo

More information