TFT Backplane Technologies for AMLCD and AMOLED Applications

Size: px
Start display at page:

Download "TFT Backplane Technologies for AMLCD and AMOLED Applications"

Transcription

1 Journal of the Korean Physical Society, Vol. 54, No. 1, January 2009, pp TFT Backplane Technologies for AMLCD and AMOLED Applications Jae Beom Choi, Young Jin Chang, Cheol Ho Park, Beom Rak Choi and Hyo Seok Kim OLED Lab., Samsung Electronics Co., Gyeonggi Kee Chan Park Department of Electronic Engineering, Konkuk University, Seoul (Received 24 January 2008) We thoroughly investigated low-temperature polycrystalline silicon (LTPS) thin-lm transistor (TFT) backplane technologies based on (1) a melt-mediated crystallization process with laser systems, (2) a solid phase crystallization process with advanced annealing systems and (3) a singlecrystalline Si layer transferred onto a large glass substrate for at-panel-display applications. Extensive micro-structural analyses of the silicon lms, comparison of the TFT performances and evaluation of the image quality of the displays enabled us to choose the competitive technologies for large-area active-matrix liquid-crystal display (AMLCD) and active-matrix organic light-emitting diode (AMOLED) applications. PACS numbers: Pg, Cw, Cy, Fq, Jt Keywords: Low-temperature polycrystalline silicon (LTPS), Excimer laser annealing (ELA), Sequential lateral solidication (SLS), Solid phase crystallization (SPC), Nanocap-assisted crystallization (NAC), Silicon on glass (SiOG) I. INTRODUCTION Large-area active-matrix liquid crystal display (AMLCD) TVs are based on amorphous silicon (a- Si) thin-lm-transistor (TFT) backplanes. However, small-area AMLCDs for premium mobile devices are based on low-temperature polycrystalline silicon (LTPS) TFT backplanes because the conventional a-si TFT backplane cannot meet specications such as high aperture ratio and low power consumption. In addition, active-matrix organic light-emitting diode (AMOLED) displays with LTPS TFT backplanes have been adopted not only for the mobile devices but also for TVs with diagonal sizes larger than 10 inches. Unlike the AMLCD which utilize an a-si:h TFT backplane for large size and a LTPS backplane for small size, there are still many research activities to nd the optimum TFT backplane technology for a high-quality AMOLED display. There are four major TFT backplane technologies for AMOLEDs: (1) a-si:h TFTs, (2) LTPS TFTs obtained by using a melt-mediated crystallization process, (3) LTPS TFTs obtained by using a solid phase crystallization process and (4) a single crystalline silicon layer transferred onto a glass substrate. Among these, the a-si:h TFT technology is best established for mass production and the displays with a-si:h TFT backplanes show excellent uniformity over large areas [1]. However keechan@konkuk.ac.kr; Fax: the threshold voltage shift under continuous positive bias stress is a critical limitation to AMOLED applications [2]. In this paper, we will review the other three dierent technologies to obtain a suitable backplane for both active-matrix display applications. II. MELT-MEDIATED CRYSTALLIZATION PROCESS The melt-mediated crystallization process includes (1) melting of the precursor a-si lm and (2) subsequent solidication of the liquid a-si, resulting in a polycrystalline silicon (poly-si) lm of various microstructures, depending on the process parameters. The phase transformation scenarios of the melt-mediated crystallization process include (1) partial melting, where only the surface of the a-si lm is melted and solidication takes place in the vertical direction from the bottom, resulting in small grains, (2) near complete melting, where the a-si lm is melted to the bottom with a small number of unmelted Si clusters remaining discontinuously and lateral solidication takes place producing grains much larger than the lm thickness and (3) complete melting, where the entire a-si lm is melted and nucleation-triggered solidication starts under super-cooled condition, again resulting in ne grains. Among the various types of laser systems that can be used for the melt-mediated crystallization process, the -549-

2 -550- Journal of the Korean Physical Society, Vol. 54, No. 1, January 2009 Fig. 1. TS-SLS process: (a) laser irradiation and (b) resulting microstructure. excimer laser annealing (ELA) system has been widely utilized for the LTPS TFT backplanes for AMLCDs [3]. The crystallization is carried out by scanning narrow laser pulses (e.g., 465-mm long and 0.5-mm wide) over the a-si lm on a large glass substrate. The process window of the laser energy density in the near-completemelting condition is rather narrow; thus, the resulting microstructure of the poly-si material is sensitive to uctuations in the laser energy. Therefore, the ELA system should have highly uniform laser intensity pro le, both the long and the short axes and shot-to-shot consistency. In particular, even a small variation in the laser intensity can be easily perceived in case of the AMOLED display because the brightness is directly associated with the current owing through the driving transistor. The mura in the scanning direction is associated with the nonuniformity of the laser intensity along the long axis and the mura perpendicular to the scanning direction is associated with the shot-to-shot nonuniformity of the laser intensity. On the other hand, the sequential lateral solidi cation (SLS) process with a patterned laser beamlet has also been utilized for AMLCD production [4, 5]. The SLS process is composed of (1) laser irradiation through a patterned mask to provide an abrupt temperature prole at the edge of the irradiated area, leading to controlled super lateral growth (C-SLG), (2) translation of the substrate by a precisely controlled distance and (3) repetition of (1) and (2) resulting in complete crystallization of the lm. Compared to the conventional ELA systems, the advantage of the SLS process is (1) a wider process window in laser intensity, (2) controllability of the grain size and (3) scalability of the substrate. However, the process time of the original SLS process is several times longer than that of the ELA. To improve the throughput of the SLS processes to be even higher than ELA, the two-shot (TS) SLS process has been developed and is being utilized in the mass production as Fig. 2. (a) 300 VGA AMLCD and (b) 1400 WXGA AMOLED display fabricated on the TS-SLS TFT backplane. the most competitive technique [6]. Figure 1 illustrates the TS-SLS process including (a) the laser irradiation scheme and (b) the resulting microstructure. \L" is the line width of the open area on the mask, \S" is the space between the open areas and the grain size is determined as (L + S)/2. With the LTPS TFT backplanes obtained by using the TS-SLS process, we could make high-quality AMLCD products and a 1400 WXGA (1280 RGB 768) AMOLED display without any mura associated with the laser crystallization process, as shown in Figure 2. We adopted a voltage-addressed compensation circuit with six TFTs and a capacitor in each pixel for the 1400 AMOLED display. III. SOLID PHASE CRYSTALLIZATION (SPC) The solid phase crystallization (SPC) process is the simplest and the lowest-cost process to obtain poly-si lms on large-area glass substrates. In this process, unlike the melt-mediated crystallization process, the a-si lm is directly transformed to the crystalline structure via nucleation and grain growth process at a temperature around 600 C. The SPC process can be catego-

3 TFT Backplane Technologies for AMLCD and AMOLED { Jae Beom Choi et al Fig UXGA AMOLED display based on the NAC TFT backplane. Fig qvga AMOLED display employing the SPC TFT backplane. rized into two groups: (1) simple SPC process and (2) metal-induced-crystallization (MIC) process where the crystallization temperature is reduced to below 500 C by employing metal catalysts. As for the process equipment, magnetic-eld-aided rapid thermal annealing may be utilized in addition to a conventional furnace. The magnetic eld induces an eddy current in the heated a- Si lm and, thus, produces a poly-si lm in a reduced process time [7]. The simple SPC process does not require any additional process other than the thermal annealing. The precursor material and the annealing temperature determine the average grain size and the crystallinity of the completed lm. Since the scale of crystalline irregularity in the SPC poly-si is much smaller than the device dimension, SPC TFTs have uniform characteristics over a large area [8,9]. As shown in Figure 3, we could obtain a qvga (240 RGB 320) AMOLED display by using the SPC TFT backplanes without any compensation circuit in the pixel. For AMOLED TV applications, a carrier mobility of 1 cm 2 /V s is high enough to drive the OLED current in each pixel because the TFT channel width can be expanded to hundreds of micrometers for a pixel density below 100 ppi (pixels per inch) to meet the current requirements, which is the case in a normal TV. However, the crystallinity must be increased by reducing the defect density in the SPC poly-si lm in order to improve the mobility up to a level that can be used for high-performance AMLCDs because more and more circuits need to be integrated on recent value-added display panels. In addition, the process temperature should be further lowered below 550 C in order to prevent the glass warpage problem frequently observed in large glass substrates. In the MIC process, metal catalysts are utilized to promote the crystallization process at reduced temperature. For example, when Ni is used as the catalyst material, the Ni atoms in/on the a-si lm can form nickel silicide at temperatures lower than the intrinsic crystallization temperature (600 C) [10, 11] and the NiSi 2 propagates through the a-si matrix, leaving a needleshaped crystalline Si region even at 484 C [12]. Since the individual Si grains obtained when using the MIC process tend to have textures with a certain orientation, further treatment can provide better structured poly-si lms with reduced defect density at the grain boundaries [13,14]. With improved crystallinity, the MIC TFTs can have a mobility higher than that of the SPC TFTs [12, 15{20]. However, the leakage current associated with Ni contamination is rather higher compared with that of the simple SPC TFTs. In order to solve the Ni contamination problem, a gettering process, in which the Ni atoms in the crystallized Si lm diuse to the phosphorus-implanted region during the dopant activation process and a nanocap-assisted crystallization (NAC) process [21], in which a thin SiO 2 capping layer is formed on top of the a-si lm prior to the deposition of Ni in order to control the Ni contents, have been developed. With the LTPS TFT backplanes obtained by using the NAC process, we fabricated a UXGA (1600 RGB 1200) AMLCD as shown in Figure 4. In order to exploit these MIC materials for AMOLED applications, we found that the nonuniformity of the grain size and the nonuniformity of the Ni distribution along the domain boundaries should be controlled. Although the uniformity and the current-driving capability of the SPC, including MIC, TFTs are sucient as AMOLED backplanes, the stability is not satisfactory

4 -552- Journal of the Korean Physical Society, Vol. 54, No. 1, January 2009 Fig. 5. Schematic diagram of the SiOG process comprising (a) hydrogen implantation, (b) bonding, (c) separation and (d) thinning of the Si wafer transferred on the glass substrate. due to high trap density. The poor stability is revealed as hysteresis in the TFT characteristics and causes image sticking in the display [22,23]. The microcrystalline silicon (c-si) TFTs that have recently attracted much attention also suer from the same instability problem, though they are much better than the a-si TFTs [8,24]. Poor stability due to the interface states is observed even for the badly fabricated ELA LTPS TFTs [25]. However it is remarkably improved by using a SLS backplane owing to the higher crystallinity that is characteristic of the SLS process. IV. SINGLE CRYSTALLINE SILICON Finally, we investigated the feasibility of utilizing single crystalline Si materials on large glass substrates for active matrix display applications. Since the variation in the TFT performance is detrimental to the image quality of the AMOLED, there have been attempts to avoid the grain boundary-related problems by transferring singlecrystalline Si layers to the glass substrates [26]. Figure 5 shows a schematic diagram of the silicon-onglass (SiOG) process developed by Corning Inc. [27]. The SiOG process consists of (1) hydrogen implantation to the Si wafers to form the separation zone (Figure 5(a)), (2) anodic bonding of the hydrogen-implanted wafers to the glass substrate, resulting in strong SiO x bonding between them (Figure 5(b)), (3) separation of the wafers, leaving a thin silicon layer on the glass substrate (Figure 5(c)) and (4) thinning the Si layer through chemical and mechanical polishing (Figure 5(d)). The thickness of the Si layer can be controlled within a standard deviation of several nanometers and the maximum process temperature does not exceed 400 C. Figure 6 shows a (320 RGB 240) AMLCD and a (240 RGB 320) AMOLED display fabricated by using the SiOG process. F The advantages of the SiOG process are (1) the absence of troublesome grain boundaries, which enables us to make a uniform AMOLED display free from the mura associated with the grain boundaries, (2) a lower defect density compared with Fig. 6. (a) qvga AMLCD and (b) qvga AMOLED display based on the SiOG TFT backplane. the polycrystalline material which leads us to fabricate an AMLCD with a high level of monolithic circuit integration and (3) a simplied TFT process with cost competitiveness over the conventional LTPS process. The ultimate goal of the SiOG technology is to obtain highquality AMOLED displays without any compensation circuit in the pixel and fully-integrated AMLCDs. V. SUMMARY We reviewed the TFT backplane technologies for high-performance active-matrix at panel display applications. Although the melt-mediated crystallization process with a laser system is widely utilized in the mass production of AMLCDs, the laser-related device's nonuniformity should be further improved to fabricate AMOLED displays with enhanced production yield. Solid phase crystallization may be an alternative for large-area AMOLED displays if the stability of the TFT is improved. For the present, the laser-annealed LTPS

5 TFT Backplane Technologies for AMLCD and AMOLED { Jae Beom Choi et al TFT is the only possible technology for the commercialization of AMOLED display because it has no critical problem, unlike the image sticking in the SPC backplane. The SiOG technology is expected to be utilized for smallsized AMLCDs or AMOLED displays in the near future. REFERENCES [1] S. H. Kim, J. H. Hur, K. M. Kim, J. H. Koo and J. Jang, J. Korean Phys. Soc. 48, S80 (2006). [2] J. H. Koo, J. W. Choi, Y. S. Kim, M. H. Kang, S. H. Kim, E. B. Kim, H. Uchike, S. W. Lee and J. Jang, J. Korean Phys. Soc. 50, L933 (2007). [3] T. Nishibe and H. Nakamura, SID '06 Digest, 1091 (2006). [4] C. W. Kim, K. C. Moon, H. J. Kim, K. C. Park, C. H. Kim, I. G. Kim, C. M. Kim, S. Y. Joo, J. K. Kang and U. J. Chung, SID '04 Digest, 868 (2004). [5] J. B. Choi, K. C. Park, K. C. Moon, J. H. Eom, R. Yokoyama and C. W. Kim, J. Soc. Inf. Display 15, 931 (2007). [6] J. B. Choi, Y. J. Chang, C. H. Park, Y. I. Kim, J. Eom, H. D. Na, I. D. Chung, S. H. Jin, Y. R. Song, B. Choi, K. Park, C.W. Kim, J. Souk, Y. S. Kim, B. H. Jung and K. C. Park, SID '08 Digest, 97 (2008). [7] K. H. Kang, S. J. Lee, S. E. Nam and H. J. Kim, Mat. Sci. Forum 449, 513 (2004). [8] T. Arai, N. Morosawa, Y. Hiromasu, K. Hidaka, T. Nakayama, A. Makita, M. Toyota, N. Hayashi, Y. Yoshimura, A. Sato, K. Namekawa, Y. Inagaki, N. Umezu and K. Tatsuki, SID '07 Digest, 1370 (2007). [9] S. K. Hong, B. K. Kim and Y. M. Ha, SID '07 Digest, 1366 (2007). [10] N. K. Song, M. S. Kim, Y. S. Kim, S. H. Han and S. K. Joo, J. Korean Phys. Soc. 51, 1076 (2007). [11] Y. S. Kim, N. K. Song, M. S. Kim, S. J. Lee and S. K. Joo, J. Korean Phys. Soc. 51, 1156 (2007). [12] C. Hayzelden and J. L. Batstone, J. Appl. Phys. 73, 8279 (1993). [13] Y. Hirakata, M. Sakakura, S. Eguchi, Y. Shionori, S. Yamazaki, H. Washio, Y. Kubota, N. Makita and M. hijikigawa, SID '00 Digest, 1014 (2000). [14] S. K. Kim, J. H. Oh, J. H. Cheon and J. Jang, J. Korean Phys. Soc. 48, 1526 (2006). [15] S. Y. Yoon, N. Y. Young, P. J. Zaag and D. McCulloch, IEEE Electron. Dev. Lett. 24, 22 (2003). [16] J. C. Kim, J. H. Choi, S. S Kim, K. M. Kim and J. Jang, Appl. Phys. Lett. 83, 5068 (1993). [17] M. Kim, K. B. Kim, K. Y. Lee, C. H. Yu, H. D. Kim and H. K. Chung, J. Appl. Phys. 103, (2008). [18] N. Kubo, N. Kusumoto, T. Inushima and S. Yamazaki, IEEE Trans. Electron Dev. 41, 1876 (1994). [19] Z. Jin, H. S. Kwok and M. Wong, IEEE Elec. Dev. Lett. 20, 167 (1999). [20] S. Zhang, R. Han, J. K. O. Sin and M. Chan, IEEE Elec. Dev. Lett. 22, 530 (2001). [21] Y. J. Chang, Y. I. Kim, S. H. Shim, S. Park, K. W. Ahn, S. C. Song, J. B. Choi, H. K. Min and C. W. Kim, SID '06 Digest, 1276 (2006). [22] D. H. Nam, H. K. Lee, S. H. Jung, T. J. Ahn, C. Y. Kim, C. D. Kim and I. J. Chung, ECS Trans. 3, 57 (2006). [23] S. H. Jung, H. K. Lee, C. Y. Kim, S. Y. Yoon, C. D. Kim and I. B. Kang, SID '08 Digest, 101 (2008). [24] T. Tsujimura, W. Zhu, S. Mizukoshi, N. Mori, K. Miwa, S. Ono, Y. Maekawa, K. Kawabe and M. Kohno, SID '07 Digest, 84 (2007). [25] B. K. Kim, O. Kim, H. J. Chung, J. W. Chang and Y. M. Ha, Jpn. J. Appl. Phys. 43, L482 (2004). [26] J. B. Choi, Y. J. Chang, S. H. Shim, I. D. Chung, K. W. Park, K. C. Park, K. C. Moon, H. K. Min and C.-W. Kim, SID '07 Digest, 1378 (2007). [27] J. G. Couillard, K. P. Gadkaree and J. F. Mach, US Patent , 2007.

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization So-Ra Park 1,2, Jae-Sang Ro 1 1 Department of Materials Science and Engineering, Hongik University, Seoul, 121-791, Korea 2 EnSilTech

More information

Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap

Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap Y. J. Chang, a) J. H. Oh, K. H. Kim, and Jin Jang b) Advanced Display Research

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

2-inch polycrystalline silicon thin film transistor array. using field aided lateral crystallization

2-inch polycrystalline silicon thin film transistor array. using field aided lateral crystallization 2-inch polycrystalline silicon thin film transistor array using field aided lateral crystallization JAE HOON JUNG, MYEONG HO KIM, YOUNG BAE KIM a, DUCK-KYUN CHOI, Division of Materials Science and Engineering,

More information

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS)

Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) Laser Crystallization for Low- Temperature Poly-Silicon (LTPS) David Grant University of Waterloo ECE 639 Dr. Andrei Sazonov What s the current problem in AM- LCD and large-area area imaging? a-si:h has

More information

Fabrication of a Uniform Low Temperature Poly-Si TFT Array by Optimized Field Aided Lateral Crystallization

Fabrication of a Uniform Low Temperature Poly-Si TFT Array by Optimized Field Aided Lateral Crystallization Fabrication of a Uniform Low Temperature Poly-Si TFT Array by Optimized Field Aided Lateral Crystallization Jae Hoon Jung, Kwang Jin Lee, Duck Kyun Choi, Ji Hoon Shin, Jung Sun You and Young Bae Kim J.

More information

WHILE most active matrix liquid crystal displays (LCDs)

WHILE most active matrix liquid crystal displays (LCDs) JOURNAL OF DISPLAY TECHNOLOGY, VOL. 2, NO. 3, SEPTEMBER 2006 265 Polycrystalline Silicon Films and Thin-Film Transistors Using Solution-Based Metal-Induced Crystallization Zhiguo Meng, Shuyun Zhao, Chunya

More information

Effective Annealing and Crystallization of Si Film for Advanced TFT System

Effective Annealing and Crystallization of Si Film for Advanced TFT System Journal of Information Display, Vol. 11, No. 1, March 2010 (ISSN 1598-0316) 2010 KIDS Effective Annealing and Crystallization of Si Film for Advanced TFT System Takashi Noguchi Abstract The effect of the

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Abstract. 1. Introduction. 2. Device Variation

Abstract. 1. Introduction. 2. Device Variation Device Variation and Its Influences on the LTPS TFT Circuits Ya-Hsiang Tai Department of Photonics & Display Institute, National Chiao Tung Univ., Hsinchu 300, Taiwan, R. O. C. Telephone: +886-3-5131307,

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Crystallization of Amorphous Silicon Thin Film. by Using a Thermal Plasma Jet. Hyun Seok Lee, Sooseok Choi, Sung Woo Kim, and Sang Hee Hong*

Crystallization of Amorphous Silicon Thin Film. by Using a Thermal Plasma Jet. Hyun Seok Lee, Sooseok Choi, Sung Woo Kim, and Sang Hee Hong* Crystallization of Amorphous Silicon Thin Film by Using a Thermal Plasma Jet Hyun Seok Lee, Sooseok Choi, Sung Woo Kim, and Sang Hee Hong* Department of Nuclear Engineering, Seoul National University Seoul

More information

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization Journal of Non-Crystalline Solids 299 302 (2002) 1321 1325 www.elsevier.com/locate/jnoncrysol Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode Low contact resistance a-igzo TFT based on Copper-Molybdenum Source/Drain electrode Shi-Ben Hu 1,Hong-Long Ning 1,2, Feng Zhu 1,Rui-QiangTao 1,Xian-Zhe Liu 1, Yong Zeng 1, Ri-Hui Yao 1, Lei Wang 1, Lin-Feng

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

行政院國家科學委員會補助專題研究計畫成果報告

行政院國家科學委員會補助專題研究計畫成果報告 NSC89-2215-E-009-104 89 08 01 90 07 31 Fabrication and Characterization of Low-Temperature Polysilicon Thin Film Transistors with Novel Self-Aligned Sub-Gate Structures NSC89-2215-E009-104 (FID) self-aligned

More information

Crystallization of Continuing Wave Laser Applications for Low-Temperature Polycrystalline Thin Film Transistors

Crystallization of Continuing Wave Laser Applications for Low-Temperature Polycrystalline Thin Film Transistors Chapter 4 Crystallization of Continuing Wave Laser Applications for Low-Temperature Polycrystalline Thin Film Transistors 4.1 Introduction Low temperature poly-silicon TFTs fabricated by excimer laser

More information

Effect of Post-Deposition Treatment on Characteristics of P-channel SnO

Effect of Post-Deposition Treatment on Characteristics of P-channel SnO Effect of Post-Deposition Treatment on Characteristics of P-channel SnO Thin-Film Transistors 1 Byeong-Jun Song, 2 Ho-Nyeon Lee 1, First Author Department of Electric & Robotics Engineering, Soonchunhyang

More information

In 1966, the first polycrystalline silicon thin-film transistors (poly-si TFTs) were

In 1966, the first polycrystalline silicon thin-film transistors (poly-si TFTs) were Chapter 1 Introduction In 1966, the first polycrystalline silicon thin-film transistors (poly-si TFTs) were fabricated by C. H. Fa et al. [1.1]. Since then, many investigations have been devoted to the

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

CRYSTALAS. UV Optics System for Excimer Laser Based Crystallization of Thin Silicon Films

CRYSTALAS. UV Optics System for Excimer Laser Based Crystallization of Thin Silicon Films L A S E R S Y S T E M G M B H CRYSTALAS UV Optics System for Excimer Laser Based Crystallization of Thin Silicon Films CRYSTALAS The New Optical Crystallization System CRYSTALAS is an excimer laser-based

More information

THIN FILM DEVICES for LARGE AREA ELECTRONICS

THIN FILM DEVICES for LARGE AREA ELECTRONICS Institute of Microelectronics Annual Report 2009 7 Project III. 3: THIN FILM DEVICES for LARGE AREA ELECTRONICS Project leader: Dr. D.N. Kouvatsos Collaborating researchers from other projects: Dr. D.

More information

LOW-TEMPERATURE polycrystalline silicon (LTPS)

LOW-TEMPERATURE polycrystalline silicon (LTPS) 1410 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 5, MAY 2014 Study of the Characteristics of Solid Phase Crystallized Bridged-Grain Poly-Si TFTs Wei Zhou, Shuyun Zhao, Rongsheng Chen, Meng Zhang,

More information

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors Vivek Subramanian a, Krishna Saraswat a, Howard Hovagimian b, and John Mehlhaff b a Electrical

More information

The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization using a Tantalum Catalytic Layer

The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization using a Tantalum Catalytic Layer www.nature.com/scientificreports Received: 27 February 2017 Accepted: 24 August 2017 Published: xx xx xxxx OPEN The Mobility Enhancement of Indium Gallium Zinc Oxide Transistors via Low-temperature Crystallization

More information

OXIDE SEMICONDUCTOR thin-film transistors (TFTs)

OXIDE SEMICONDUCTOR thin-film transistors (TFTs) JOURNAL OF DISPLAY TECHNOLOGY, VOL. 8, NO. 1, JANUARY 2012 35 Effect of Self-Assembled Monolayer (SAM) on the Oxide Semiconductor Thin Film Transistor Seung-Hwan Cho, Yong-Uk Lee, Jeong-Soo Lee, Kang-Moon

More information

Modeling of Grain Growth Mechanism by Nickel Silicide Reactive Grain Boundary Effect in Metal-Induced-Lateral-Crystallization

Modeling of Grain Growth Mechanism by Nickel Silicide Reactive Grain Boundary Effect in Metal-Induced-Lateral-Crystallization IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 6, JUNE 2003 1467 Modeling of Grain Growth Mechanism by Nickel Silicide Reactive Grain Boundary Effect in Metal-Induced-Lateral-Crystallization C. F.

More information

Defense Technical Information Center Compilation Part Notice

Defense Technical Information Center Compilation Part Notice UNCLASSIFIED Defense Technical Information Center Compilation Part Notice ADPO 11302 TITLE: A Novel Device Structure for Low-Temperature Polysilicon TFTs With Controlled Gain Growth in Channel Regions

More information

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer Mol. Cryst. Liq. Cryst., Vol. 476, pp. 157=[403] 163=[409], 2007 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421400701735673 The Effect of Interfacial

More information

Dynamic Characteristics of Vertically Aligned Liquid Crystal Device Using a Polymer Wall Associated with the Boundary Condition of Alignment Layer

Dynamic Characteristics of Vertically Aligned Liquid Crystal Device Using a Polymer Wall Associated with the Boundary Condition of Alignment Layer Mol. Cryst. Liq. Cryst., Vol. 476, pp. 115=[361] 123=[369], 2007 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421400701685936 Dynamic Characteristics of

More information

Effect of Ti/Cu Source/Drain on an Amorphous IGZO TFT Employing SiNx Passivation for Low Data-Line Resistance

Effect of Ti/Cu Source/Drain on an Amorphous IGZO TFT Employing SiNx Passivation for Low Data-Line Resistance Effect of Ti/Cu Source/Drain on an Amorphous IGZO TFT Employing SiNx Passivation for Low Data-Line Resistance Young Wook Lee, Sun-Jae Kim, Soo-Yeon Lee, Woo-Geun Lee, Kap-Soo Yoon, Jae-Woo Park, Jang-Yeon

More information

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Indian Journal of Pure & Applied Physics Vol. 42, July 2004, pp 528-532 Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Navneet Gupta* & B P Tyagi**

More information

THERE is considerable interest in adapting amorphous

THERE is considerable interest in adapting amorphous IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 4, APRIL 2014 1109 Electrical Instability of Double-Gate a-igzo TFTs With Metal Source/Drain Recessed Electrodes Gwanghyeon Baek, Linsen Bie, Katsumi

More information

Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods

Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 12, DECEMBER 2002 2217 Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods H. Watakabe and T. Sameshima Abstract Fabrication

More information

Supporting Information for: Bendable Inorganic Thin-Film Battery for Fully Flexible Electronic Systems

Supporting Information for: Bendable Inorganic Thin-Film Battery for Fully Flexible Electronic Systems Supporting Information for: Bendable Inorganic Thin-Film Battery for Fully Flexible Electronic Systems By Min Koo, Kwi-Il Park, Seung Hyun Lee, Minwon Suh, Duk Young Jeon, Jang Wook Choi, Kisuk Kang, and

More information

Optically Assisted Metal-Induced Crystallization of Thin Si Films for Low-Cost Solar Cells

Optically Assisted Metal-Induced Crystallization of Thin Si Films for Low-Cost Solar Cells Optically Assisted Metal-Induced Crystallization of Thin Si Films for Low-Cost Solar Cells Wei Chen, Bhushan Sopori, Kim Jones, and Robert Reedy, National Renewable Energy Laboratory, Golden, CO; N. M.

More information

Investigation on solid-phase crystallization techniques for low temperature polysilicon thinfilm transistors

Investigation on solid-phase crystallization techniques for low temperature polysilicon thinfilm transistors Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2013 Investigation on solid-phase crystallization techniques for low temperature polysilicon thinfilm transistors

More information

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods Y. Kim Professor, Department of Materials Science and Engineering, College of Engineering, Kyonggi

More information

RECONFIGURABLE NEUROMORPHIC SYNAPSE INTERCONNECTS WITH TFT

RECONFIGURABLE NEUROMORPHIC SYNAPSE INTERCONNECTS WITH TFT RECONFIGURABLE NEUROMORPHIC SYNAPSE INTERCONNECTS WITH TFT JAN GENOE PUBLIC Every neuron in a human brain is connected via its synapses to 10-15.000 other neurons. Those connections can be over time reconfigured

More information

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts Direct contacts between aluminum alloys and thin film transistors (TFTs) contact layers were studied. An Al-Ni alloy was found to be contacted directly with an indium tin oxide (ITO) layer successfully

More information

Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide

Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide Elevated-Metal Metal-Oxide Thin-Film Transistors Based on Indium-Tin-Zinc Oxide Zhihe XIA,2, Lei LU,2,3, Jiapeng LI,2, Zhuoqun FENG,2, Sunbin DENG,2, Sisi WANG,2, Hoi-Sing KWOK,2,3 and Man WONG*,2 Department

More information

Excimer Laser-Induced Melting and Resolidification Dynamics of Silicon Thin Films

Excimer Laser-Induced Melting and Resolidification Dynamics of Silicon Thin Films Journal of the Korean Physical Society, Vol. 39, December 2001, pp. S419 S424 Excimer Laser-Induced Melting and Resolidification Dynamics of Silicon Thin Films Mutsuko Hatano Hitachi Research Laboratory,

More information

Development and modeling of a low temperature thin-film CMOS on glass

Development and modeling of a low temperature thin-film CMOS on glass Rochester Institute of Technology RIT Scholar Works Theses Thesis/Dissertation Collections 2-6-2009 Development and modeling of a low temperature thin-film CMOS on glass Robert G. Manley Follow this and

More information

LOW-TEMPERATURE poly-si (LTPS) thin-film transistors

LOW-TEMPERATURE poly-si (LTPS) thin-film transistors IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 51, NO. 1, JANUARY 2004 63 Performance and Reliability of Low-Temperature Polysilicon TFT With a Novel Stack Gate Dielectric and Stack Optimization Using PECVD

More information

Superlateral Growth of Silicon by Artificially Designed Spatial Intensity Laser Beam Profile

Superlateral Growth of Silicon by Artificially Designed Spatial Intensity Laser Beam Profile J192 0013-4651/2009/156 7 /J192/7/$25.00 The Electrochemical Society Superlateral Growth of Silicon by Artificially Designed Spatial Intensity Laser Beam Profile Eok Su Kim and Ki-Bum Kim*,z Department

More information

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Mat. Res. Soc. Symp. Proc. Vol. 715 2002 Materials Research Society Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Xiang-Zheng Bo, Nan

More information

Boron Back Surface Field Using Spin-On Dopants by Rapid Thermal Processing

Boron Back Surface Field Using Spin-On Dopants by Rapid Thermal Processing Journal of the Korean Physical Society, Vol. 44, No. 6, June 2004, pp. 1581 1586 Boron Back Surface Field Using Spin-On Dopants by Rapid Thermal Processing Ji Youn Lee Photovoltaics R&D Center, Sung Jin

More information

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI Journal of the Korean Physical Society, Vol. 49, December 2006, pp. S795 S799 Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing

More information

Lecture #9: Active-Matrix LCDs

Lecture #9: Active-Matrix LCDs Lecture #9: Active-Matrix LCDs Introduction OUTLINE Active-matrix switching elements TFT performance requirements Active matrix processing constraints Amorphous silicon (a-si) TFT technology TFT fabrication

More information

0HE, United Kingdom. United Kingdom , Japan

0HE, United Kingdom. United Kingdom , Japan Tel. No.: 81-45-924-5357 Fax No.: 81-45-924-5339 e-mail: tkamiya@msl.titech.ac.jp Effects of Oxidation and Annealing Temperature on Grain Boundary Properties in Polycrystalline Silicon Probed Using Nanometre-Scale

More information

Ultra-high material-quality silicon pillars on glass. IEEE Photovoltaic Specialists Conference Conference Record. Copyright IEEE.

Ultra-high material-quality silicon pillars on glass. IEEE Photovoltaic Specialists Conference Conference Record. Copyright IEEE. Title Ultra-high material-quality silicon pillars on glass Author(s) Liu, F; AI-Jassim, MM; Young, DL Citation The 35th IEEE Photovoltaic Specialists Conference (PVSC 2010), Honolulu, HI., 20-25 June 2010.

More information

저작권법에따른이용자의권리는위의내용에의하여영향을받지않습니다.

저작권법에따른이용자의권리는위의내용에의하여영향을받지않습니다. 저작자표시 - 비영리 - 변경금지 2.0 대한민국 이용자는아래의조건을따르는경우에한하여자유롭게 이저작물을복제, 배포, 전송, 전시, 공연및방송할수있습니다. 다음과같은조건을따라야합니다 : 저작자표시. 귀하는원저작자를표시하여야합니다. 비영리. 귀하는이저작물을영리목적으로이용할수없습니다. 변경금지. 귀하는이저작물을개작, 변형또는가공할수없습니다. 귀하는, 이저작물의재이용이나배포의경우,

More information

TECHNOLOGY, PERFORMANCE AND DEGRADATION CHARACTERISTICS OF SLS ELA THIN FILM TRANSISTORS

TECHNOLOGY, PERFORMANCE AND DEGRADATION CHARACTERISTICS OF SLS ELA THIN FILM TRANSISTORS FACTA UNIVERSITATIS Series: Electronics and Energetics Vol. 26, N o 3, December 2013, pp. 247-280 DOI: 10.2298/FUEE1303247M TECHNOLOGY, PERFORMANCE AND DEGRADATION CHARACTERISTICS OF SLS ELA THIN FILM

More information

Ion Irradiation Enhanced Formation and Luminescence of Silicon Nanoclusters from a-sio x

Ion Irradiation Enhanced Formation and Luminescence of Silicon Nanoclusters from a-sio x Journal of the Korean Physical Society, Vol. 39, December 2001, pp. S83 S87 Ion Irradiation Enhanced Formation and Luminescence of Silicon Nanoclusters from a-sio x Yohan Sun, Se-Young Seo and Jung H.

More information

Thin AC-PDP Vacuum In-line Sealing Using Direct-Joint Packaging Method

Thin AC-PDP Vacuum In-line Sealing Using Direct-Joint Packaging Method H128 0013-4651/2004/151 5 /H128/5/$7.00 The Electrochemical Society, Inc. Thin AC-PDP Vacuum In-line Sealing Using Direct-Joint Packaging Method Duck-Jung Lee, a,b,z Seung-IL Moon, a Yun-Hi Lee, c and

More information

Despina C Moschou. National and Kapodistrian University of Athens, Department of Informatics and Telecommunications

Despina C Moschou. National and Kapodistrian University of Athens, Department of Informatics and Telecommunications Fabrication technology development of thin film transistors optimized with respect to the structure of the silicon films that results from the crystallization process Despina C Moschou National and Kapodistrian

More information

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan Amorphous In 2 O 3 -Ga 2 O 3 -ZnO Thin Film Transistors and Integrated Circuits on Flexible and Colorless Polyimide Substrates Hsing-Hung Hsieh, and Chung-Chih Wu* Graduate Institute of Electronics Engineering,

More information

Light Emission Analysis of Trench Gate Oxides of Power Devices

Light Emission Analysis of Trench Gate Oxides of Power Devices Special Issue Recent R&D Activities of Power Devices for Hybrid ElectricVehicles 17 Research Report Light Emission Analysis of Trench Gate Oxides of Power Devices Masanori Usui, Takahide Sugiyama, Masayasu

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb* International Forum on Energy, Environment and Sustainable Development (IFEESD 2016) Effect of annealing temperature on the electrical properties of HfAlO thin films Chun Lia, Zhiwei Heb* Department of

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 52 (2012) 2215 2219 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Threshold voltage shift

More information

Instructor: Dr. M. Razaghi. Silicon Oxidation

Instructor: Dr. M. Razaghi. Silicon Oxidation SILICON OXIDATION Silicon Oxidation Many different kinds of thin films are used to fabricate discrete devices and integrated circuits. Including: Thermal oxides Dielectric layers Polycrystalline silicon

More information

High Mobility Flexible Amorphous IGZO Thin-Film Transistors with a Low Thermal Budget Ultra-Violet Pulsed Light Process.

High Mobility Flexible Amorphous IGZO Thin-Film Transistors with a Low Thermal Budget Ultra-Violet Pulsed Light Process. High Mobility Flexible Amorphous IGZO Thin-Film Transistors with a Low Thermal Budget Ultra-Violet Pulsed Light Process. M. Benwadih 1*, R. Coppard 1, K. Bonrad 2, A. Klyszcz 2, D. Vuillaume 3 1 : Univ.

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells. Jonathon Dore SPREE Research Seminar - 27th June, 2013

Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells. Jonathon Dore SPREE Research Seminar - 27th June, 2013 Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells Jonathon Dore SPREE Research Seminar - 27th June, 2013 Contents Introduction motivation for thin-film Thin-film PV technologies Diode laser

More information

arxiv:cond-mat/ v2 [cond-mat.mtrl-sci] 29 Nov 2003

arxiv:cond-mat/ v2 [cond-mat.mtrl-sci] 29 Nov 2003 Fabrication and Electrical Properties of Pure Phase Films B. G. Chae, D. H. Youn, H. T. Kim, S. Maeng, and K. Y. Kang Basic Research Laboratory, ETRI, Daejeon 305-350, Republic of Korea arxiv:cond-mat/0311616v2

More information

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Crystalline Silicon Solar Cells With Two Different Metals Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8588,

More information

Mechanism of Germanium-Induced Perimeter Crystallization of Amorphous Silicon

Mechanism of Germanium-Induced Perimeter Crystallization of Amorphous Silicon Journal of The Electrochemical Society, 154 4 H275-H282 2007 0013-4651/2007/154 4 /H275/8/$20.00 The Electrochemical Society Mechanism of Germanium-Induced Perimeter Crystallization of Amorphous Silicon

More information

High Image Quality Panel Technology - Ion Beam Alignment

High Image Quality Panel Technology - Ion Beam Alignment High Image Quality Panel Technology - Ion Beam Alignment SUZUKI Teruaki, MATSUSHIMA Jin, SASAKI Yoichi Abstract In the conventional process of LCD panel fabrication, glass substrates are rubbed by a buffing

More information

Deuterium pressure dependence of characteristics and hot-carrier reliability of CMOS devices

Deuterium pressure dependence of characteristics and hot-carrier reliability of CMOS devices Microelectronic Engineering 56 (001) 353 358 www.elsevier.com/ locate/ mee Deuterium pressure dependence of characteristics and hot-carrier reliability of CMOS devices a, a b a a Kangguo Cheng *, Jinju

More information

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Mat. Res. Soc. Symp. Proc. Vol. 748 2003 Materials Research Society U11.8.1 Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Ionut Radu, Izabela Szafraniak,

More information

A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs

A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.2, APRIL, 217 ISSN(Print) 1598-1657 https://doi.org/.5573/jsts.217.17.2.277 ISSN(Online) 2233-4866 A Study on Thermal Stability Improvement in

More information

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E3.22.1 DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Kyoung-Il

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Rare Earth Doping of Silicon-Rich Silicon Oxide for Silicon-Based Optoelectronic Applications

Rare Earth Doping of Silicon-Rich Silicon Oxide for Silicon-Based Optoelectronic Applications Journal of the Korean Physical Society, Vol. 39, December 2001, pp. S78 S82 Rare Earth Doping of Silicon-Rich Silicon Oxide for Silicon-Based Optoelectronic Applications Se-Young Seo, Hak-Seung Han and

More information

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density Jpn. J. Appl. Phys. Vol. 40 (2001) pp. 49 53 Part 1, No. 1, January 2001 c 2001 The Japan Society of Applied Physics Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis

More information

Study on the hydrogenated ZnO-based thin film transistors

Study on the hydrogenated ZnO-based thin film transistors Final Report Study on the hydrogenated ZnO-based thin film transistors To Dr. Gregg Jessen Asian Office of Aerospace Research & Development April 30th, 2011 Jae-Hyung Jang School of Information and Communications

More information

High Sensitivity and Low Power Consumption Gas Sensor Using MEMS Technology and Thick Sensing Film

High Sensitivity and Low Power Consumption Gas Sensor Using MEMS Technology and Thick Sensing Film Journal of the Korean Physical Society, Vol. 45, No. 5, November 2004, pp. 1205 1209 High Sensitivity and Low Power Consumption Gas Sensor Using MEMS Technology and Thick Sensing Film Nak-Jin Choi, Jun-Hyuk

More information

Continuous Synthesis of Carbon Nanoclusters Using Well-Controlled Thermal Plasmas

Continuous Synthesis of Carbon Nanoclusters Using Well-Controlled Thermal Plasmas Continuous Synthesis of Carbon Nanoclusters Using Well-Controlled Thermal Plasmas T. Ohishi*, Y. Yoshihara and O. Fukumasa Graduate School of Science and Engineering, Yamaguchi University, 2-16-1 Tokiwadai,

More information

566 Zheng Zhong-Shan et al Vol Device and experiment First, standard SIMOX (separation-by-implantedoxygen) wafers were formed through implanting

566 Zheng Zhong-Shan et al Vol Device and experiment First, standard SIMOX (separation-by-implantedoxygen) wafers were formed through implanting Vol 14 No 3, March 2005 cfl 2005 Chin. Phys. Soc. 1009-1963/2005/14(03)/0565-06 Chinese Physics and IOP Publishing Ltd Effect of the technology of implanting nitrogen into buried oxide on the radiation

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Microtexture measurement of copper damascene line with EBSD

Microtexture measurement of copper damascene line with EBSD Material Science Forum Vols. 408-412(2002) pp. 529-534 2002 Trans Tech Publications, Switzerland Microtexture measurement of copper damascene line with EBSD Dong-Ik Kim 1*, Jong-Min Paik 1, Young-Chang

More information

NO x gas response characteristics of thin film mixed oxide semiconductor

NO x gas response characteristics of thin film mixed oxide semiconductor Sensors and Actuators B 108 (2005) 211 215 NO x gas response characteristics of thin film mixed oxide semiconductor Kap-Duk Song a, Jung-Il Bang a, Sang-Rok Lee a, Yun-Su Lee a, Young-Ho Hong b, Duk-Dong

More information

Oxidation of Silicon

Oxidation of Silicon OpenStax-CNX module: m24908 1 Oxidation of Silicon Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module was developed

More information

Light enhancement by the formation of an Al-oxide honeycomb nano-structure on the n-gan surface of thin-gan light-emitting diodes

Light enhancement by the formation of an Al-oxide honeycomb nano-structure on the n-gan surface of thin-gan light-emitting diodes Light enhancement by the formation of an Al-oxide honeycomb nano-structure on the n-gan surface of thin-gan light-emitting diodes C. L. Lin, P. H. Chen Department of Chemical and Materials Engineering,

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Hei Wong.

Hei Wong. Defects and Disorders in Hafnium Oxide and at Hafnium Oxide/Silicon Interface Hei Wong City University of Hong Kong Email: heiwong@ieee.org Tokyo MQ2012 1 Outline 1. Introduction, disorders and defects

More information

Orgin of Subgap Features in Transparent Amorphous Oxide Semiconductors

Orgin of Subgap Features in Transparent Amorphous Oxide Semiconductors Alpenglow: Binghamton University Undergraduate Journal of Research and Creative Activity Volume 1 Issue 1 Article 6 April 2015 Orgin of Subgap Features in Transparent Amorphous Oxide Semiconductors Zachary

More information

Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE

Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE Microelectronic Engineering 77 (2005) 48 54 www.elsevier.com/locate/mee Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE Minseong Yun a, Myoung-Seok Kim a, Young-Don

More information

Application of carbon nanotubes to the cathode ray tube-electron gun

Application of carbon nanotubes to the cathode ray tube-electron gun Vacuum 68 (2003) 79 85 Application of carbon nanotubes to the cathode ray tube-electron gun Yoon-Taek Jang a, *, Yun-Hi Lee a, Byeong-Kwon Ju a, Jin-Ho Ahn b, Chang-Ki Go c, Gong-Seog Park c a Nano Devices

More information

Polycrystalline and microcrystalline silicon

Polycrystalline and microcrystalline silicon 6 Polycrystalline and microcrystalline silicon In this chapter, the material properties of hot-wire deposited microcrystalline silicon are presented. Compared to polycrystalline silicon, microcrystalline

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics METAL OXIDE SEMICONDUCTOR (MOS) DEVICES Term Paper Topic: Hafnium-based High-K Gate Dielectrics AUTHOR KYAWTHETLATT Content 1. High-k Gate Dielectric introduction 3 2. Brief history of high-k dielectric

More information

Influence of Plasma Treatment to the Performance of Amorphous IGZO based Flexible Thin Film Transistors

Influence of Plasma Treatment to the Performance of Amorphous IGZO based Flexible Thin Film Transistors Article Influence of Plasma Treatment to the Performance of Amorphous IGZO based Flexible Thin Film Transistors Long-long Chen, Xiang Sun, Ji-feng Shi, Xi-feng Li *, Xing-wei Ding and Jian-hua Zhang *

More information

3.5-Inch QCIF AMOLED Panels with Ultra-low-Temperature Polycrystalline Silicon Thin Film Transistor on Plastic Substrate

3.5-Inch QCIF AMOLED Panels with Ultra-low-Temperature Polycrystalline Silicon Thin Film Transistor on Plastic Substrate 3.5-Inch QCIF AMOLED Panels with Ultra-low-Temperature Polycrystalline Silicon Thin Film Transistor on Plastic Substrate Yong-Hae Kim, Choong-Heui Chung, Jaehyun Moon, Su-Jae Lee, Gi Heon Kim, and Yoon-Ho

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information