Direct Liquid Injection Vaporizers for High-Efficiency, Cost-effective Photovoltaic Manufacturing

Size: px
Start display at page:

Download "Direct Liquid Injection Vaporizers for High-Efficiency, Cost-effective Photovoltaic Manufacturing"

Transcription

1 Direct Liquid Injection Vaporizers for High-Efficiency, Cost-effective Photovoltaic Manufacturing By Scott Benson, Director of Sales - Americas, Brooks Instrument January 2012 Photovoltaic manufacturers work with expensive and challenging liquid chemistries every day in order to manufacture state-of-the-art solutions for the solar industry. So, it s imperative that PV developers harness the most reliable and cost-efficient technology during the manufacturing process to produce modules of the highest quality at the lowest cost. One way PV companies can improve their operations is to optimize the liquid chemical-to-vapor, or vaporizer, sub-system in manufacturing lines that utilize vaporized liquid precursors. Manufacturers use vaporizer technology in processes that chemically deposit layers, such as in the production of thin-film modules or creating a transparent conducting oxide layer. Currently, many manufacturers use vaporizing technologies that have not been fully-optimized for the requirements of PV manufacturing. This can result in: inconsistent layer uniformity and performance, excessive production of waste gases for disposal, excessive liquid precursor consumption, slow deposition speed, and inefficient use of expensive deposition equipment. However, by integrating a modern direct liquid injection (DLI) vaporizer, manufacturers can achieve the following in order to have the most efficient operations, least waste, and highest product quality: 100% conversion of the liquid feed into the vapor phase with no possibility of liquid carry-over to the deposition chamber Precise, repeatable stoichiometry in the deposition chamber Elimination of thermal decomposition of the liquid precursor which eliminates the possibility of introduction undesirable (or harmful) chemical species Obtain vapor-on-demand the ability to generate the precise amount of vapor exactly when it s needed without wasteful, expensive divert steps

2 Overcoming Limitations DLI vaporizer technology overcomes the limitations of more conventional vaporizer technologies. For example, bubblers also called vapor-draw systems are difficult to start and stop, with large bubblers requiring hours to equilibrate. They require close control of temperature and pressure, and they are inefficient at generating well-controlled vapor mass flow. Heated bubblers can promote precursor decomposition for liquids that are thermally sensitive. Vaporizing valves, hot frit, heat tracing and other flash vaporizers that apply heat to the liquid using a hot metal surface are also inefficient at generating vapor mass. They frequently can cause thermal decomposition of the liquid precursor leading to undesirable chemical species or particles. None of these conventional technologies can eliminate the potential for liquid carryover and its corresponding problems. When liquid enters the (typically) hot deposition chamber, it explosively vaporizes leading to pressure transients that impact layer uniformity, repeatability, and performance. Figure 1 shows pressure fluctuations in a chemical vapor deposition (CVD) chamber that are caused by incomplete vaporization and precursor carryover from a conventional vaporizer. With conventional vaporizers, it s challenging to determine precisely how much vapor mass is actually being delivered so that the correct chamber stoichiometry is maintained. In photovoltaic applications that require precise vapor mass addition, users must employ secondary measurement or control devices like vapor mass flow controllers, which increase the cost and complexity of the vapor module. Because of these known limitations of conventional vaporizer technologies, some CVD processes use a gas precursor instead of liquid in certain PV applications, even though the gas analogue can be more dangerous, toxic, expensive, or all three. With the reliability and precision of DLI vaporizer technology, PV manufacturers can rethink their precursor strategy and select the precursor that best fits their manufacturing strategy and objectives. State-of-the-Art DLI Technology Unlike bubblers and hot surface vaporizers, DLI vaporizers are extremely efficient at producing vapor from liquid. DLI vaporizers use hot gas instead of a hot metal surface to accomplish liquid vaporization. As liquid enters the hot gas chamber, a carrier gas stream atomizes it. When the atomized liquid contacts the hot gas, it immediately changes to vapor. The result is chemically pure vapor that is free of decomposition byproducts or liquid carryover. The most advanced DLI vaporizers can accept multiple liquid inlets and will generate perfectly mixed vapors. To accommodate a wide variety of liquid properties extremely low vapor pressures (sub 1 torr), very low flow rates (sub 5 grams per hour) and very high flow rates (more than 15 kg per hour) the vaporizers offer several heat exchanger designs. 2

3 Some DLI vaporizers are close to being true vapor on demand systems because increasing, decreasing or stopping the incompressible liquid flow quickly results in the desired change in vapor flow. For example, Figure 2 illustrates a common application depositing silicon oxide films from tetraethyl orthosilicate (TEOS). It shows a DLI vaporizer s fast response to 900 gram per hour TEOS step challenges. By comparison, the large bubblers commonly used for methyltrichlorosilane, BCL3, TiCl4 and similar liquids are difficult to start, run and stop effectively. Many DLI vaporizer solutions feature Coriolis liquid flow controllers. Because it uses the Coriolis measurement principle, this flow controller provides ultra-precise mass delivery to the hot gas chamber without regard to chemical composition or flow rate. By definition, the vapor mass that exits the vaporizer is identical to liquid mass that entered it. This eliminates the need for additional vapor measurement and control devices, which reduces the complexity of the system. DLI vaporizers are also designed to operate in a wide range of process pressure regimes, from sub-atmospheric to many atmospheres. Convenient, modular construction makes it easy to adapt them to any photovoltaic processing conditions, include those that impose ultra-high purity conditions. Applications Deposition A complete PV deposition system typical includes a DLI vaporizer, Coriolis liquid mass flow controller, gas thermal mass flow controller, and temperature and setpoint controllers for the heated vaporizer. DLI vaporizers are ideal for CVD applications such as depositing silicon carbide, tin oxide, titanium nitride, boron nitride, zinc oxide and diamond-like carbon. It is effective whether the material is deposited as layers or bulk material, or is infused into a matrix. 3

4 In CVD, DLI vaporizers provide excellent layer morphology. They significantly increase deposition rates, reduce precursor consumption and produce less waste gas than conventional vaporizers. In these applications, the return on investment of a DLI vaporizer system is especially rapid. Figure 3 shows the remarkable improvement in layer-tolayer reproducibility reported by one CVD customer. Unlike large and complex control bubbler systems or vapor-draw systems, which can be difficult to control and require a temperature-controlled supply vessel, DLI vaporizers respond almost instantaneously to changes in vapor demand commands. They are also physically smaller devices and simpler for a given vapor mass flow capacity. In vacuum polymer deposition applications, DLI vaporizers can accept more than one liquid feed. This facilitates vaporizing monomers with dissimilar vapor pressures. Thin Films Another application of DLI vaporizers is vaporizing thin film precursors, including advanced thermally sensitive precursors containing lanthanum, zirconium, strontium or hafnium. Unlike flash vaporizers, bubblers and vapor draw systems, DLI vaporizers deliver fast and repeatable flow rates, in this case, in excess of 15 grams per minute for silicon oxide films. TEOS vapor reaches full concentration in less than three seconds. This fast response time, combined with a Coriolis mass flow controller with 0.2% flow rate accuracy and wafer-to-wafer repeatability of better than 0.2 grams per hour, can produce high quality repeatable films at very high deposition rates. This eliminates time wasted during vapor divert steps for liquid and vapor flow stabilization. In these applications, DLI permits flexible operation and repeatable delivery of liquid precursors. DLI technology ensures complete vaporization, low transit times, and quick start-up and shutdown. Additionally, DLI vaporizers are engineered using a modular design that supports a wide range of process fluids and operating conditions. Conclusion The PV industry is growing rapidly and shows great promise to be a source of clean energy in decades to come. A key factor for the long-term success of this industry is the continual reduction in the manufacturing costs of new solar technology. Chemically depositing layers from chemical precursors is a critical process in many of these new technologies, and the efficient and effective production of these films is a critical production step in the goal of reducing the cost of production. DLI vaporizer technology is the way of the future for PV manufacturers looking to achieve cost savings and efficient processes that result in high-quality products. Transparent Conducting Oxides One of the most important films in a thin film solar cell is the transparent conducting oxide. Metal organic precursors are used to deliver conducting atoms to the substrate. Bubblers have been used to vaporize these liquids, but their use is challenged by minimal flexibility and relatively low mass delivery. 4

5 About Brooks Instrument Every day, customers turn to Brooks Instrument for solutions to their flow, pressure, vacuum and level challenges. In industries as diverse as biopharmaceuticals, oil and gas, fuel cell, solar cell, chemicals, medical devices, analytical instrumentation, and semiconductors, Brooks provides the broadest array of flow products in the market. Our awardwinning flow meters and flow controllers consistently rank at the top of their category for accuracy, reliability, and user preference, as judged by the audience that matters users of flow instruments. But Brooks products are only half the story. Our customers are backed by unsurpassed technical expertise in virtually every corner of the planet. The local Brooks product and application specialist brings to each customer priceless application experience. They have been extensively trained to help you select the optimal solutions for your measurement or control needs, and offer years of experience solving application problems just like yours. Toll-free (USA): FLOW 5

(Ultra) low flow Coriolis competence

(Ultra) low flow Coriolis competence SEMICONDUCTOR MARKET (Ultra) low flow Coriolis competence Source: ABB Bronkhorst Bronkhorst specializes in low flow Mass Flow Meters and Controllers for liquids and gases. Our Coriolis principle based

More information

QUANTIM Coriolis Precision Mass Flow Precise, continuous, totally process-immune measurement and control

QUANTIM Coriolis Precision Mass Flow Precise, continuous, totally process-immune measurement and control QUANTIM Coriolis Precision Mass Flow Precise, continuous, totally process-immune measurement and control When Existing Flow Technologies Aren t Precise Or Reliable Enough, QUANTIM Can Replace Or Enhance

More information

VAPORIZER PRODUCTS. Product Guide. MSP Corporation Rice Creek Parkway, Suite 300. Shoreview, Minnesota 55126, U.S.A.

VAPORIZER PRODUCTS. Product Guide. MSP Corporation Rice Creek Parkway, Suite 300. Shoreview, Minnesota 55126, U.S.A. Product Guide VAPORIZER PRODUCTS MSP Corporation 5910 Rice Creek Parkway, Suite 300 Shoreview, Minnesota 55126, U.S.A. Phone: 651.287.8100 Fax: 651.287.8140 sales@mspcorp.com www.mspcorp.com ABOUT MSP

More information

RainMaker Humidification System for Precise Delivery of Water Vapor into Atmospheric and Vacuum Applications

RainMaker Humidification System for Precise Delivery of Water Vapor into Atmospheric and Vacuum Applications RainMaker Humidification System for Precise Delivery of Water Vapor into Atmospheric and Vacuum Applications By Jeffrey Spiegelman Water vapor has multiple applications across industries including semiconductor,

More information

Non-contractual document, specifications subject to change without notice.

Non-contractual document, specifications subject to change without notice. 1 ANNEALSYS designs and manufactures Rapid Thermal Processing (RTA, RTCVD) Direct Liquid Injection (DLI-CVD, DLI-ALD) systems for research laboratories and companies for semiconductor, MEMS, nanotechnologies,

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ WͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ WͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

Optical Inspection for the Field of Thin-Film Solar

Optical Inspection for the Field of Thin-Film Solar Top quality for the photovoltaic industry Optical Inspection for the Field of Thin-Film Solar Thin-film solar cells are playing an increasingly important role in the photovoltaic industry. Even though

More information

Lecture 8 Chemical Vapor Deposition (CVD)

Lecture 8 Chemical Vapor Deposition (CVD) Lecture 8 Chemical Vapor Deposition (CVD) Chapter 5 & 6 Wolf and Tauber 1/88 Announcements Homework: Homework Number 2 is due on Thursday (19 th October). Homework will be returned one week later Thursday

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Application Note. R*evolution III Remote Plasma Source: Low Particle Performance in O 2 / N 2. Photoresist Ashing PROBLEM. BACKGROUND R*evolution III

Application Note. R*evolution III Remote Plasma Source: Low Particle Performance in O 2 / N 2. Photoresist Ashing PROBLEM. BACKGROUND R*evolution III R*evolution III Remote Plasma Source: Low Particle Performance in / Photoresist Ashing PROBLEM It is critical that the remote plasma sources used in semiconductor device processing be operated in a manner

More information

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film layer at the surface Typically gas phase reactions

More information

Roll-to-roll ALD prototype for 500 mm wide webs

Roll-to-roll ALD prototype for 500 mm wide webs Roll-to-roll ALD prototype for 500 mm wide webs Tapani Alasaarela, Mikko Söderlund, Pekka Soininen Beneq Oy, Ensimmäinen Savu, 01510 Vantaa, Finland Roll-to-roll (R2R) atomic layer deposition (ALD) technology

More information

Despite Hype, Atomic Layer Deposition Shows Promise

Despite Hype, Atomic Layer Deposition Shows Promise Research Brief Despite Hype, Atomic Layer Deposition Shows Promise Abstract: Surrounded by hype, ALD is emerging as a promising new deposition technology for both dielectric and metal films, with applications

More information

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS Pioneering ALD experience since 1974 The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS SUNALE P-SERIES ALD SYSTEMS PRODUCT CATALOGUE Description SUNALE P-series ALD system SUNALE P-series

More information

ME 432 Fundamentals of Modern Photovoltaics. Discussion 30: Contacts 7 November 2018

ME 432 Fundamentals of Modern Photovoltaics. Discussion 30: Contacts 7 November 2018 ME 432 Fundamentals of Modern Photovoltaics Discussion 30: Contacts 7 November 2018 Fundamental concepts underlying PV conversion input solar spectrum light absorption carrier excitation & thermalization

More information

Molecular Beam Epitaxy (MBE) BY A.AKSHAYKRANTH JNTUH

Molecular Beam Epitaxy (MBE) BY A.AKSHAYKRANTH JNTUH Molecular Beam Epitaxy (MBE) BY A.AKSHAYKRANTH JNTUH CONTENTS Introduction What is Epitaxy? Epitaxy Techniques Working Principle of MBE MBE process & Epitaxial growth Working conditions Operation Control

More information

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology General Plasma, Inc. 546 East 25th Street Tucson, Arizona 85713 tel. 520-882-5100 fax. 520-882-5165 High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source

More information

Energy is one of the mega-trends driving the world

Energy is one of the mega-trends driving the world Gas Usage and Cost Management in Photovoltaics and High-Brightness LEDs By Dr. An i s h To l i a A view of the gases critical to PV and HB-LED manufacturing, and how they determine the cost-effectiveness

More information

Microwave Plasma Processing

Microwave Plasma Processing Microwave Plasma Processing MUEGGE GMBH Hochstraße 4-6 64385 Reichelsheim Fon +49 (0) 6164-93 07 11 Fax +49 (0) 6164-93 07 93 info@muegge.de www.muegge.de Microwave Plasma Processing Microwave Plasma Technology:

More information

The next thin-film PV technology we will discuss today is based on CIGS.

The next thin-film PV technology we will discuss today is based on CIGS. ET3034TUx - 5.3 - CIGS PV Technology The next thin-film PV technology we will discuss today is based on CIGS. CIGS stands for copper indium gallium selenide sulfide. The typical CIGS alloys are heterogeneous

More information

Mott GasShield Filters made with Hastelloy C-22 alloy For unsurpassed strength and resistance to corrosion

Mott GasShield Filters made with Hastelloy C-22 alloy For unsurpassed strength and resistance to corrosion Semiconductor Industry Gas Filtration Update Corrosive gases are easily handled with all Hastelloy gas filters from Mott. Today, Mott is the premier supplier of Hastelloy-based filters to the semiconductor

More information

MATERIALS CHALLENGE FOR SHINGLED CELLS INTERCONNECTION

MATERIALS CHALLENGE FOR SHINGLED CELLS INTERCONNECTION MATERIALS CHALLENGE FOR SHINGLED CELLS INTERCONNECTION Guy Beaucarne Dow Corning 6 th Workshop on Metallization and Interconnection of crystalline silicon solar cells Konstanz, 3 May 2016 Introduction

More information

ME 215. Mass and Energy Analysis of Control Volumes CH-6 ÇANKAYA UNIVERSITY. Mechanical Engineering Department. Open Systems-Control Volumes (CV)

ME 215. Mass and Energy Analysis of Control Volumes CH-6 ÇANKAYA UNIVERSITY. Mechanical Engineering Department. Open Systems-Control Volumes (CV) ME 215 Mass and Energy Analysis of Control Volumes CH-6 ÇANKAYA UNIVERSITY Mechanical Engineering Department Open Systems-Control Volumes (CV) A CV may have fixed size and shape or moving boundaries Open

More information

See Mass Flow Control in a Whole New Way

See Mass Flow Control in a Whole New Way See Mass Flow Control in a Whole New Way The 300 Vue from Teledyne Hastings Instruments is a new series of thermal mass flow controllers which provide accurate, fast, and convenient gas measurement and

More information

UltraPur TMP. Trimethylphosphite FEATURES & BENEFITS. Canisters and delivery systems APPLICATIONS

UltraPur TMP. Trimethylphosphite FEATURES & BENEFITS. Canisters and delivery systems APPLICATIONS SPECIALTY CHEMICALS AND ENGINEERED MATERIALS UltraPur TMP Trimethylphosphite Trimethylphosphite (TMP) is an organic phosphorous ester compound used as a phosphorous source in depositing doped silicate

More information

Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System

Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System Performance, Reliability, and Versatility Transpector CPM Fast, Field-ready Process Monitoring System Precision measurement for modern semiconductor processes INFICON Transpector CPM has been the films.

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Provide a silicon substrate with a layer of pad oxide and a nitride mask

Provide a silicon substrate with a layer of pad oxide and a nitride mask (12) United States Patent Bhakta et al. US006605517B1 (10) Patent N0.: (45) Date of Patent: Aug. 12, 2003 (54) (75) (73) (21) (22) (51) (52) (58) (56) METHOD FOR MINIMIZING NITRIDE RESIDUE ON A SILICON

More information

EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC.

EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC. EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC. FINAL MANDATORY GHG REPORTING RULE SUBPART A Subpart A is General Provisions. Includes who must report;

More information

Bulk crystal growth. A reduction in Lg will increase g m and f oper but with some costs

Bulk crystal growth. A reduction in Lg will increase g m and f oper but with some costs Bulk crystal growth The progress of solid state device technology has depended not only on the development of device concepts but also on the improvement of materials. A reduction in Lg will increase g

More information

The Role of Engineering Simulation in Clean Coal Technologies

The Role of Engineering Simulation in Clean Coal Technologies W H I T E P A P E R - 1 0 6 The Role of Engineering Simulation in Clean Coal Technologies David Schowalter, PhD, ANSYS, Inc. IINTRODUCTION In some circles in the United States, coal has become a dirty

More information

Increased Yield Using PDS Products Grade BN-975 with Hydrogen Injection

Increased Yield Using PDS Products Grade BN-975 with Hydrogen Injection Increased Yield Using PDS Products Grade BN-975 with Hydrogen Injection Technical Bulletin The purpose of the hydrogen injection process is to increase die yield per wafer. This is accomplished because

More information

EQUIPMENT EQUIPMENT FOR HIGH-EFFICIENCY SOLAR CONCEPTS CRYSTALLINE SILICON PV. SCALA XEA nova XENIA

EQUIPMENT EQUIPMENT FOR HIGH-EFFICIENCY SOLAR CONCEPTS CRYSTALLINE SILICON PV. SCALA XEA nova XENIA CRYSTALLINE SILICON PV EQUIPMENT EQUIPMENT FOR HIGH-EFFICIENCY SOLAR CONCEPTS SCALA XEA nova XENIA VON ARDENNE was founded in 1991 as a spin-off of the former Manfred von Ardenne Research Institute. The

More information

Plastic Electronics Precise and productive automation solutions

Plastic Electronics Precise and productive automation solutions Plastic Electronics Precise and productive automation solutions 2 Plastic Electronics Flexible electronics "off the roll" Today s electronics industry faces constant challenges as resource and energy costs

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

Versatile Research Heat Exchanger

Versatile Research Heat Exchanger Versatile Research Heat Exchanger Expandable system accurately simulates a broad range of temperatures, pressures, and flowrates. Ideal for a wide variety of applications, including: Fouling research Heat

More information

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS Simple and cost-effective introduction of PERC technology into the mass production of solar cells Kerstin Strauch, Florian Schwarz, Sebastian Gatz 1 Introduction

More information

Giovanni Attolini Technical Aspects on Crystal Growth from Vapour Phase

Giovanni Attolini Technical Aspects on Crystal Growth from Vapour Phase A09 Giovanni Attolini Technical Aspects on Crystal Growth from Vapour Phase Bulk Crystals, Epitaxy and Nanostructures Copyright MMXV ARACNE editrice int.le S.r.l. www.aracneeditrice.it info@aracneeditrice.it

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

CHAPTER 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES

CHAPTER 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES Thermodynamics: An Engineering Approach 8th Edition in SI Units Yunus A. Ç engel, Michael A. Boles McGraw-Hill, 2015 CHAPTER 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES Objectives Develop the conservation

More information

enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by

enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by www.cvdequipment.com Equipment Design, Engineering, and Manufacturing Thin film deposition systems for industrial

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

AQUEOUS CRITICAL CLEANING: A WHITE PAPER THE SIGNIFICANCE IN SOLAR MODULE MANUFACTURING

AQUEOUS CRITICAL CLEANING: A WHITE PAPER THE SIGNIFICANCE IN SOLAR MODULE MANUFACTURING AQUEOUS CRITICAL CLEANING: A WHITE PAPER THE SIGNIFICANCE IN SOLAR MODULE MANUFACTURING Alconox, Inc. Critical Cleaning Experts 30 Glenn St., Suite 309, White Plains NY 10603 USA Tel.914.948.4040 Fax.914.948.4088

More information

Customized surface solutions for optimized semiconductor manufacturing

Customized surface solutions for optimized semiconductor manufacturing Customized surface solutions for optimized semiconductor manufacturing Semiconductor Coatings are the future for state-of-the-art semiconductor manufacturing High quality coatings applied over inexpensive

More information

INVESTIGATION FOR EFFECT OF MECHANICAL PROPERTIES OF CHROMIUM CARBIDE COATED ON COPPER ROD

INVESTIGATION FOR EFFECT OF MECHANICAL PROPERTIES OF CHROMIUM CARBIDE COATED ON COPPER ROD Research Paper ISSN 2278 049 www.ijmerr.com Vol. 3, No. 4, October, 204 204 IJMERR. All Rights Reserved INVESTIGATION FOR EFFECT OF MECHANICAL PROPERTIES OF CHROMIUM CARBIDE COATED ON COPPER ROD Baloji

More information

Extending product lifetime with ALD moisture barrier

Extending product lifetime with ALD moisture barrier Whitepaper Extending product lifetime with ALD moisture barrier 01 executive summary Atomic Layer Deposition (ALD) is a thin film technology that enables new and highly competitive products. Typical applications

More information

Development of Low-resistivity TiN Films using Cat Radical Sources

Development of Low-resistivity TiN Films using Cat Radical Sources Development of Low-resistivity TiN Films using Cat Radical Sources Masamichi Harada*, Yohei Ogawa*, Satoshi Toyoda* and Harunori Ushikawa** In Cu wiring processes in the 32-nm node generation or later,

More information

Chapter 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES

Chapter 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES Thermodynamics: An Engineering Approach Seventh Edition in SI Units Yunus A. Cengel, Michael A. Boles McGraw-Hill, 2011 Chapter 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES Copyright The McGraw-Hill Companies,

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

PDS Products PRODUCT DATA SHEET. BN-975 Wafers. Low Defect Boron Diffusion Systems. Features/Benefits BORON NITRIDE

PDS Products PRODUCT DATA SHEET. BN-975 Wafers. Low Defect Boron Diffusion Systems. Features/Benefits BORON NITRIDE Low Defect Boron Diffusion Systems The purpose of the hydrogen injection process is to increase die yield per wafer. This is accomplished because the effects associated with the hydrogen injection process.

More information

1 MARCH 2017 FILM DEPOSITION NANOTECHNOLOGY

1 MARCH 2017 FILM DEPOSITION NANOTECHNOLOGY 1 MARCH 2017 FILM DEPOSITION NANOTECHNOLOGY PRESENTATION Pedro C. Feijoo E-mail: PedroCarlos.Feijoo@uab.cat FABRICATION TECHNOLOGIES FOR NANOELECTRONIC DEVICES. PEDRO C. FEIJOO 2 FILM GROWTH Chemical vapor

More information

Si DRIE APPLICATION In Corial 210IL

Si DRIE APPLICATION In Corial 210IL Si DRIE APPLICATION In Corial 210IL CORIAL 210IL ICP-RIE equipment for deep Si etching applications Enlarged functionality with capability to deep etch silicon, silicon carbide, glass, sapphire, and quartz

More information

Chapter 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES

Chapter 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES Thermodynamics: An Engineering Approach Seventh Edition Yunus A. Cengel, Michael A. Boles McGraw-Hill, 2011 Chapter 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES Copyright The McGraw-Hill Companies, Inc.

More information

Chapter 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES

Chapter 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES Thermodynamics: An Engineering Approach Seventh Edition in SI Units Yunus A. Cengel, Michael A. Boles McGraw-Hill, 2011 Chapter 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES Mehmet Kanoglu University of

More information

Corial PS200 4-sided multi-module platform

Corial PS200 4-sided multi-module platform Corial PS200 4-sided multi-module platform Single wafer platform equipped with 200 mm modules Integration of ICP-CVD or PECVD process chambers Fully automated platform with cassette-to-cassette handler

More information

Chemical Engineering Principles of CVD Processes. A Review of Basics: Part I

Chemical Engineering Principles of CVD Processes. A Review of Basics: Part I Chemical Engineering Principles of CVD Processes A Review of Basics: Part I THIN FILM COATINGS THERMAL EVAPORATION (PVD) SPUTTERING PROCESS CHEMICAL DEPOSITION CHEMICAL DEPOSITION METHODS Electrolytic

More information

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge)

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge) Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge) PREPARED BY: You-Sheng (Wilson) Lin, Nanolab Staff 7-30-2013 Superusers: Steve Franz You-Sheng Lin Max Ho X68923 X68923

More information

enabling tomorrow s technologies FirstNano EasyTube Systems powered by SEMI - S2/S8 and CE compliant

enabling tomorrow s technologies FirstNano EasyTube Systems powered by SEMI - S2/S8 and CE compliant powered by FirstNano EasyTube Systems SEMI - S2/S8 and CE compliant www.cvdequipment.com www.firstnano.com FirstNano EasyTube Systems Overview Configurable CVD systems for advanced research & development

More information

VISION INNOVATE INSPIRE DELIVER

VISION INNOVATE INSPIRE DELIVER INSPIRE Vision About NovaCentrix Photonic Curing INNOVATE History Applications DELIVER Our Products SimPulse Conductive Inks NanoPowders VISION NovaCentrix partners with you to take ideas from inspiration

More information

Platypus Gold Coated Substrates. Bringing Science to the Surface

Platypus Gold Coated Substrates. Bringing Science to the Surface Platypus Gold Coated Substrates Bringing Science to the Surface Overview Gold Coated Substrates - Gold Coating Introduction - Glossary of Terms - Gold Coating Methods - Critical Features Platypus Gold

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates.

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates. ET3034TUx - 5.2.1 - Thin film silicon PV technology 1 Last week we have discussed the dominant PV technology in the current market, the PV technology based on c-si wafers. Now we will discuss a different

More information

Photovoltaics & Solar Thermals. Thin-film equipment. Customized. FHR Anlagenbau GmbH I

Photovoltaics & Solar Thermals. Thin-film equipment. Customized. FHR Anlagenbau GmbH I Photovoltaics & Solar Thermals Thin-film equipment. Customized. FHR Anlagenbau GmbH I www.fhr.de FHR Anlagenbau GmbH is an innovative enterprise in the branch of vacuum processing and thin-film technologies.

More information

Inline Sputtering System for Heterojunction Cells

Inline Sputtering System for Heterojunction Cells GENERIS PVD Inline Sputtering System for Heterojunction Cells GENERIS PVD Inline Sputter System for ITO and Ag Deposition on Heterojunction Solar Cells Sputtering Technology at a Glance has delivered far

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK

XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK XSTREAM REMOTE PLASMA SOURCE WITH ACTIVE MATCHING NETWORK FULLY INTEGRATED PLASMA SOURCE PLATFORM FOR HIGH-FLOW AND HIGH-PRESSURE, REACTIVE-GAS PROCESSES The high-efficiency Xstream platform, mounted outside

More information

Comparison of PV Efficiency Using Different Types of Steam for Wet Thermal Oxidation

Comparison of PV Efficiency Using Different Types of Steam for Wet Thermal Oxidation Comparison of PV Efficiency Using Different Types of Steam for Wet Thermal Oxidation Jeffrey Spiegelman 1 Jan Benick 2 1 RASIRC 2 Fraunhofer Institute for Solar Energy Systems (ISE) PRINT this article

More information

TRANSITION-METAL CARBIDES AND NITRIDES

TRANSITION-METAL CARBIDES AND NITRIDES TRANSITION-METAL CARBIDES AND NITRIDES 3.1 INTRODUCTORY REMARKS Titanium carbide (TiC) and zirconium carbide (ZrC) are extremely hard refractory metal compounds. Hafnium carbide (HfC) with a melting point

More information

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

MAE 119 W2018 FINAL EXAM PROF. G.R..TYNAN Part I: MULTIPLE CHOICE SECTION 2 POINTS EACH

MAE 119 W2018 FINAL EXAM PROF. G.R..TYNAN Part I: MULTIPLE CHOICE SECTION 2 POINTS EACH MAE 119 W2018 FINAL EXAM PROF. G.R..TYNAN Part I: MULTIPLE CHOICE SECTION 2 POINTS EACH 1. Which best describes the working definition of energy used in class: a. Energy can be transformed and in doing

More information

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods Y. Kim Professor, Department of Materials Science and Engineering, College of Engineering, Kyonggi

More information

Thermal Expansion of Al Matrix Composites Reinforced with TiN Nanoparticles

Thermal Expansion of Al Matrix Composites Reinforced with TiN Nanoparticles Thermal Expansion of Al Matrix Composites Reinforced with TiN Nanoparticles A. Chennakesava Reddy Professor, Department of Mechanical Engineering, JNTUH College of Engineering, Hyderabad Abstract: The

More information

Properties of Inclined Silicon Carbide Thin Films Deposited by Vacuum Thermal Evaporation

Properties of Inclined Silicon Carbide Thin Films Deposited by Vacuum Thermal Evaporation 182 Properties of Inclined Silicon Carbide Thin Films Deposited by Vacuum Thermal Evaporation Oday A. Hamadi, Khaled Z. Yahia, and Oday N. S. Jassim Abstract In this work, thermal evaporation system was

More information

Study of Nozzle Clogging During Cold Spray

Study of Nozzle Clogging During Cold Spray Study of Nozzle Clogging During Cold Spray Presenter: Matt Siopis UTRC Team Members: A. Nardi, A. Espinal, L. Binek, T. Landry Portions of this Research were sponsored by the Army Research Laboratories

More information

Cutting Tool Materials and Cutting Fluids. Dr. Mohammad Abuhaiba

Cutting Tool Materials and Cutting Fluids. Dr. Mohammad Abuhaiba Cutting Tool Materials and Cutting Fluids HomeWork #2 22.37 obtain data on the thermal properties of various commonly used cutting fluids. Identify those which are basically effective coolants and those

More information

Be careful what you wish for

Be careful what you wish for Be careful what you wish for Four Point Probe Key measurement tool in microelectronics fabrication What is Four Point Probing Four Point Probing is a method for measuring the resistivity of a substance.

More information

Simple logic. Delivering smarter semiconductor manufacturing through innovative gas technology.

Simple logic. Delivering smarter semiconductor manufacturing through innovative gas technology. Simple logic. Delivering smarter semiconductor manufacturing through innovative gas technology. 02 Simple logic Linde in semiconductor manufacturing Simple logic Linde in semiconductor manufacturing 03

More information

Atomic Layer Deposition (ALD)

Atomic Layer Deposition (ALD) Atomic Layer Deposition (ALD) ALD provides Uniform, controlled, conformal deposition of oxide, nitride, and metal thin films on a nanometer scale. ALD is a self limiting thin film deposition technique

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

Focus on: FEP. Fluoropolymers. FEP in the fluoropolymer family.

Focus on: FEP. Fluoropolymers. FEP in the fluoropolymer family. TECHNICAL WHITEPAPER ocus on: EP Introduction Continuing our series of looking at the members of the fluoropolymer family in detail, this month we focus on EP (fluoroethylene-propylene). EP is a copolymer

More information

Epitaxy techniques - overview

Epitaxy techniques - overview 6.772SMA5111 - Compound Semiconductors Lecture 7 - Epitaxy Techniques and Considerations - Outline Lattice-matching considerations Natural lattice-matching 1. Review of lattice-matched material systems

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

Atomic Layer Deposition

Atomic Layer Deposition Atomic Layer Deposition Ville Malinen R&D Engineer Nanopinnoitteita koneenrakentajille 2010 1 Introduction 1) Overview of Beneq 2) Atomic Layer Deposition (ALD), to deposit thin films, which Are dense

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Progress in Roll-to-Roll Atomic Layer Deposition

Progress in Roll-to-Roll Atomic Layer Deposition Progress in Roll-to-Roll Atomic Layer Deposition Council for Chemical Research Barrier Workshop Arlington, Virginia September 20, 2012 E. Dickey Lotus Applied Technology Outline ALD for barrier films ALD

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Competence in Plasma-Surface-Technology. Attaining new Goals, taking new Approach...

Competence in Plasma-Surface-Technology. Attaining new Goals, taking new Approach... Competence in Plasma-Surface-Technology Attaining new Goals, taking new Approach... 2 Development Plasma technology has become a classic already. We set up milestones. Today we are setting new standards.

More information

Pulsed Laser Deposition of Epitaxial Titanium Nitride on Magnesium Oxide substrate

Pulsed Laser Deposition of Epitaxial Titanium Nitride on Magnesium Oxide substrate Pulsed Laser Deposition of Epitaxial Titanium Nitride on Magnesium Oxide substrate By, Preetam ANBUKARASU UTRIP 2012 (1 st Crew) Under the Guidance of, Prof. Tetsuya HASEGAWA, Solid State Chemistry Lab,

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

8. Summary and Outlook

8. Summary and Outlook 8. Summary and Outlook This thesis deals with the synthesis and evaluation of all-nitrogen coordinated complexes of the rare earths such ad Gd, Er, and Y bearing the amidinate and guanidinate class of

More information

A Basic Introduction to Thin-Film Coatings. From the Experts at VaporTech

A Basic Introduction to Thin-Film Coatings. From the Experts at VaporTech A Basic Introduction to Thin-Film Coatings From the Experts at VaporTech What are thin-film coatings? 2018 Vapor Technologies, Inc. All rights reserved. Thin-film coatings Physical or Chemical Vapor Deposition

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

GENERIS PVD. Inline Sputtering System for Heterojunction Solar Cells

GENERIS PVD. Inline Sputtering System for Heterojunction Solar Cells GENERIS PVD Inline Sputtering System for Heterojunction Solar Cells GENERIS PVD Inline Sputtering System for Heterojunction Solar Cells Sputtering Competence has delivered far more than 8000 vacuum sputtering

More information

Gas Analysis CAPABILITIES

Gas Analysis CAPABILITIES Gas Analysis CAPABILITIES www.extrel.com Contents For over 50 years, Extrel has specialized in providing gas analyzers that give great results. In all cases, success depends on two factors: 1. Effective

More information

Power Vision Ltd. PV Research. Power Vision Ltd. Unit R2, Herald Park, Crewe, Cheshire, CW1 6EA, UK Tel:

Power Vision Ltd. PV Research. Power Vision Ltd. Unit R2, Herald Park, Crewe, Cheshire, CW1 6EA, UK   Tel: Power Vision Ltd PV Research Power Vision Ltd Unit R2, Herald Park, Crewe, Cheshire, CW1 6EA, UK www.pvoptical.com Tel: +44 1270 253000 Flexible Whether it be fast AR coating onto temperature sensitive

More information

Gas Analysis CAPABILITIES

Gas Analysis CAPABILITIES Gas Analysis CAPABILITIES www.extrel.com Contents For over 50 years, Extrel has specialized in providing gas analyzers that give great results. In all cases, success depends on two factors: 1. Effective

More information

2 Boar s Head Lane Charlottesville, VA Phone Fax

2 Boar s Head Lane Charlottesville, VA Phone Fax 2 Boar s Head Lane Charlottesville, VA 22903 Phone 434-977-1405 Fax 434-977-1462 www.directedvapor.com DVTI has recently built DVD III, a fully operational production capable coater. This invaluable addition

More information