Chapter 5 Thermal Processes

Size: px
Start display at page:

Download "Chapter 5 Thermal Processes"

Transcription

1 Chapter 5 Thermal Processes 1

2 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2

3 Definition Thermal processes are the processes operate at high temperature, which is usually higher than melting point of aluminum. They are performed in the front-end of the semiconductor process, usually in high temperature furnace commonly called diffusion furnace. 3

4 Thermal Processes in IC Fabrication Materials IC Fab Metallization CMP Dielectric Thin Film Test Wafers Masks Thermal Processes Implant PR strip Etch PR strip Packaging Photolithography Final Test Design 4

5 Horizontal Furnace Commonly used tool for thermal processes Often be called as diffusion furnace Quartz tube inside a ceramic liner called muffle Multi-tube system 5

6 Source Cabinet Source Gases Oxygen Water Vapor Nitrogen Hydrogen Gas control panel Gas flow controller Gas flow meter 6

7 Oxidation Sources Dry Oxygen Water vapor sources Bubblers Flash systems Hydrogen and oxygen, H 2 + O 2 H 2 O Chlorine sources, for minimized mobile ions in gate oxidation Anhydrous hydrogen chloride HCl Trichloroethylene (TCE), Trichloroethane (TCA) 7

8 P-type dopant B 2 H 6 N-type dopants PH 3 AsH 3 Purge gas N 2 Diffusion Sources 8

9 Deposition Sources Silicon source for poly and nitride deposition: Silane, SiH 4 DCS, SiH 2 Cl 2 Nitrogen source for nitride deposition: NH 3 Dopants for polysilicon deposition B 2 H 6, PH 3 and AsH 3 Purge gas N 2 9

10 Horizontal Furnace Heating Coils Wafers Quartz Tube Gas flow Temperature Center Zone Flat Zone Distance 10

11 Vertical Furnace, Process Position Process Chamber Wafers Heaters Suscepter Tower Place the process tube in vertical direction Smaller footprint Better contamination control Better wafer handling Lower maintenance cost and higher uptime 11

12 Temperature Control Thermal processes are very sensitive to the temperature Precisely temperature control is vital ±0.5 C at central zone ±0.05% at 1000 C! 12

13 Ramping Temperature Control Anti-Warp Methods Load wafer slowly at a lower temperature (idle temperature, ~ 800 C) Ramp temperature to process point after a short stabilization period Slow loading 1 inch/min thermal capacity of 200 six-inch wafers can drop temperature as much as 50 C 13

14 Oxidation Silicon reacts with oxygen Stable oxide compound Widely used in IC manufacturing Si + O 2 SiO 2 14

15 Oxidation Original Silicon Surface O 2 Silicon Dioxide Silicon O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 O 2 55% 45% 15

16 Application of Oxidation Diffusion Masking Layer Surface Passivation Screen oxide, pad oxide, barrier oxide Isolation Field oxide and LOCOS Gate oxide 16

17 Diffusion Barrier Much lower B and P diffusion rates in SiO 2 than that in Si SiO 2 can be used as diffusion mask Dopant SiO 2 SiO 2 Si 17

18 Surface Passivation Pad Oxide Sacrificial Oxide Screen Oxide Barrier Oxide SiO 2 Si Normally thin oxide layer (~150Å) to protect silicon defects from contamination and over-stress. 18

19 Screen Oxide Dopant Ions Photoresist Photoresist Si Substrate Screen Oxide 19

20 Pad and Barrier Oxides in STI Process Nitride Pad Oxide Silicon Trench Etch Nitride Pad Oxide USG Silicon Barrier Oxide USG Trench Fill Silicon USG CMP; USG Anneal; Nitride and Pad Oxide Strip 20

21 Pad Oxide Relieve strong tensile stress of the nitride Prevent stress induced silicon defects Silicon nitride Pad Oxide Silicon Substrate 21

22 LOCOS Process Pad Oxide Silicon nitride P-type substrate Pad oxidation, nitride deposition and patterning Silicon nitride SiO 2 p + P-type substrate p + Isolation Doping p + LOCOS oxidation Bird s Beak SiO 2 p + P-type substrate p + Isolation Doping p + Nitride and pad oxide strip 22

23 Sacrificial Oxide Defects removal from silicon surface STI STI STI Sacrificial Oxide P-Well P-Well Gate Oxide P-Well USG N-Well Sacrificial Oxidation USG N-Well Strip Sacrificial Oxide USG N-Well Gate Oxidation 23

24 Device Dielectric Gate oxide: thinnest and most critical layer Capacitor dielectric V G V D > 0 Poly Si Gate Thin oxide n + Source p-si n + Drain Electrons Si Substrate 24

25 Oxide and Applications Name of the Oxide Thickness Application Time in application Native Å undesirable - Screen ~ 200 Å Implantation Mid-70s to present Masking ~ 5000 Å Diffusion 1960s to mid-1970s Field and LOCOS Å Isolation 1960s to 1990s Pad Å Nitride stress buffer 1960s to present Sacrificial <1000 Å Defect removal 1970s to present Gate Å Gate dielectric 1960s to present Barrier Å STI 1980s to present 25

26 Oxidation Mechanism Si + O 2 SiO 2 Oxygen comes from gas Silicon comes from substrate Oxygen diffuse cross existing silicon dioxide layer and react with silicon The thicker of the film, the lower of the growth rate 26

27 Oxide Growth Rate Regime Oxide Thickness Linear Growth Regime B X = t A Diffusion-limited Regime X = B t Oxidation Time 27

28 <100> Silicon Dry Oxidation Oxide Thickness (micron) <100> Silicon Dry Oxidation 1200 C 1150 C 1100 C 1050 C 1000 C 950 C 900 C Oxidation Time (hours) 28

29 Wet (Steam) Oxidation Si + 2H 2 O SiO 2 + 2H 2 At high temperature H 2 O is dissociated to H and H-O H-O diffuses faster in SiO 2 than O 2 Wet oxidation has higher growth rate than dry oxidation. 29

30 <100> Silicon Wet Oxidation Rate Oxide Thickness (micron) <100> Silicon Wet Oxidation 1150 C 1100 C 1050 C 1000 C 950 C 900 C Oxidation Time (hours) 30

31 Oxidation Rate Temperature Chemistry, wet or dry oxidation Thickness Pressure Wafer orientation (<100> vs. <111>) Silicon dopant 31

32 Wet Oxidation Rate Oxide Thickness (micron) <111> Orientation 95 C Water 1200 C 1100 C 1000 C 920 C Oxidation Time (hours) 32

33 Depletion and Pile-up Effects Original Si Surface Si-SiO 2 interface Original Si Surface Si-SiO 2 interface Dopant Concentration SiO 2 Original Distribution Si Dopant Concentration SiO 2 Si P-type Dopant Depletion N-type dopant Pile-up 33

34 Oxidation Rate Doped oxidation (HCl) HCl is used to reduce mobile ion contamination. Widely used for gate oxidation process. Growth rate can increase from 1 to 5 percent. 34

35 Oxidation Process Dry Oxidation, thin oxide Gate oxide Pad oxide, screen oxide, sacrificial oxide, etc. Wet Oxidation, thick oxide Field oxide Diffusion masking oxide 35

36 Dry Oxidation System MFC MFC MFC To Process Tube MFC Control Valves HCl Process N 2 O 2 Purge N 2 Regulator 36

37 Dry Oxidation Dry O 2 as the main process gas HCl is used to remove mobile ions for gate oxidation High purity N 2 as process purge gas Lower grade N 2 as idle purge gas 37

38 Dangling Bonds and Interface Charge Interface State Charge (Positive) Dangling Bond SiO 2 Si-SiO 2 Interface Si 38

39 Wet Oxidation Process Faster, higher throughput Thick oxide, such as LOCOS Dry oxide has better quality Process Temperature Film Thickness Oxidation Time Dry oxidation 1000 C 1000 Å ~ 2 hours Wet oxidation 1000 C 1000 Å ~ 12 minutes 39

40 Water Vapor Sources Boiler Bubbler Flush Pyrogenic 40

41 Boiler System Heated Gas line Heated Fore line MFC Process Tube Exhaust Vapor Bubbles Water Heater 41

42 Bubbler System N 2 Water MFC N 2 + H 2 O Heated Gas Line Process Tube N 2 Bubbles Exhaust Heater 42

43 Flush System Hot Plate Water N 2 MFC Process Tube Heater 43

44 Pyrogenic Steam System Hydrogen Flame, 2 H 2 + O 2 2 H 2 O O 2 H 2 To Exhaust Thermal Couple Process Tube Paddle Wafer Boat 44

45 Rapid Thermal Oxidation For gate oxidation of deep sub-micron device Very thin oxide film, < 30 Å Need very good control of temperature uniformity, WIW and WTW. RTO will be used to achieve the device requirement. 45

46 High Pressure Oxidation Faster growth rate Reducing oxidation temperature: 1 amt. = 30 C Higher dielectric strength Complex system Safety issues Not widely used in IC production Stainless Steel Jacket High Pressure Inert Gas High Pressure Oxidant Gas Quartz Process Chamber 46

47 High Pressure Oxidation Oxidation time to grow 10,000 Å wet oxide Temperature Pressure Time 1 atmosphere 5 hours 1000 C 5 atmosphere 1 hour 25 atmosphere 12 minutes Time Pressure Temperature 1 atmosphere 1000 C 5 hours 10 atmosphere 700 C 47

48 Oxide Measurement Thickness Uniformity Color chart Ellipsometry Reflectometry Gate oxide Break down voltage C-V characteristics 48

49 Ellipsometry Linearly Polarized Incident Light Elliptically Polarized Reflected Light s p n 1, k 1, t 1 n 2, k 2 49

50 Reflectometry Incident light 1 2 Human eye or photodetector t Dielectric film, n(λ) Substrate 50

51 C-V Test Configuration Large Resistor Capacitor Meter Oxide Aluminum Silicon Metal Platform Heater Heater 51

52 Diffusion Most common physics phenomena Materials disperse from higher concentration to lower concentration region Silicon dioxide as diffusion mask Was widely used for semiconductor doping Diffusion Furnace and Diffusion Bay 52

53 Illustration of Diffusion Doping Dopant Junction Depth Silicon 53

54 Definition of Junction depth Junction Depth, x j Background dopant concentration Dopant Concentration Distance from the wafer surface 54

55 Diffusion Masking Oxide Masking Oxide N-Silicon p + p + N-Silicon 55

56 Diffusion Replaced by ion implantation due to the less process control Still being used in drive-in for well formation 56

57 Thermal Budget Dopant atoms diffuse fast at high temperature D = D 0 exp ( E A /kt) Smaller device geometry, less room for dopant thermal diffusion, less thermal budget Thermal budget determines the time and temperature of the post-implantation thermal processes 57

58 Illustration of Thermal Budget Gate As S/D Implantation Over Thermal Budget 58

59 Thermal Budget T ( C) 1 µm 0.5 µm µm Thermal Budget (sec) µm Source: Chang and Sze, ULSI Technology /T (K) 59

60 Diffusion Doping Process Both dopant concentration and junction depth are related to temperature. Isotropic dopant profile Replaced by ion implantation after the mid-1970s. 60

61 Diffusion Doping Process Silicon dioxide as hard mask Deposit dopant oxide Cap oxidation prevent dopant diffusion into gas phase Drive-in 61

62 Diffusion Doping Process Oxidation, photolithography and oxide etch Pre-deposition: B 2 H O 2 B 2 O H 2 O Cap oxidation: 2 B 2 O Si 3 SiO B 2 H 2 O + Si SiO H 2 Drive-in Boron diffuses into silicon substrate 62

63 Diffusion Doping Process Oxidation, photolithography and oxide etch Deposit dopant oxide: 4POCl 3 + 3O 2 2P 2 O 5 + 3Cl 2 Cap oxidation 2P 2 O 5 + 5Si 5SiO 2 + 4P Phosphorus concentrates on silicon surface Drive-in Phosphorus diffuses into silicon substrate 63

64 Dopant Oxide Deposition Deposited Dopant Oxide SiO 2 Si Substrate 64

65 Limitations and Applications Diffusion is isotropic process and always dope underneath masking oxide Can t independently control junction depth and dopant concentration Used for well implantation drive-in R&D for ultra shallow junction (USJ) formation 65

66 Diffusion for Boron USJ Formation Small devices needs ultra shallow junction Boron is small and light, implanter energy could be too high for it goes too deep Controlled thermal diffusion is used in R&D for shallow junction formation 66

67 Four-Point Probe Measurement I V R s = ρ/t P 1 P 2 P 3 P 4 S 1 S 2 S 3 Doped Region Substrate 67

68 Annealing and RTP Processes 68

69 Post-implantation Annealing Energetic ions damage crystal structure Amorphous silicon has high resistivity Need external energy such as heat for atoms to recover single crystal structure Only in single crystal structure dopants can be activated Higher temperature, faster annealing 69

70 After Ion Implantation Lattice Atoms Dopant Atom 70

71 Thermal Annealing Lattice Atoms Dopant Atoms 71

72 Alloy Annealing A thermal process in which different atoms chemically bond with each other to form a metal alloy. Widely used in silicide formation Self aligned silicide (salicide) TiSi 2 CoSi 2 Furnace and RTP 72

73 Silicide Much lower resistivity than polysilicon Used as gate and local interconnection Used as capacitor electrodes Improving device speed and reduce heat generation TiSi 2, WSi 2 are the most commonly used silicide CoSi 2, MoSi 2, and etc are also used 73

74 Titanium Silicide Process Titanium Polysilicon n + n + STI USG p + p + Ti Deposition Titanium Silicide STI n + n + USG p + p + Annealing Sidewall Spacer Titanium Silicide STI n + n + USG p + p + Ti Strip 74

75 Aluminum-silicon Alloy Form on silicon surface Prevent junction spiking due to silicon dissolving in aluminum Junction Spike Al SiO 2 Al Al p + p + n-type Silicon 75

76 Illustration of BPSG Reflow As Deposit p + PSG n + n + LOCOS SiO 2 p + p + p + P-type substrate N-well After Reflow p + PSG n + n + LOCOS SiO 2 p + p + p + P-type substrate N-well 76

77 Rapid Thermal Processing (RTP) Mainly used for post-implantation rapid thermal anneal (RTA) process. Fast temperature ramp-up, 100 to 150 C/sec compare with 15 C/min in horizontal furnace. Reduce thermal budge and easier process control. 77

78 Rapid Thermal Processing (RTP) Single wafer rapid thermal CVD (RTCVD) chamber can be used to deposit polysilicon and silicon nitride. RTCVD chamber can be integrated with other process chamber in a cluster tool for in-line process. Thin oxide layer (< 40 Å) is likely to be grown with RTO for WTW uniformity control. 78

79 Schematic of RTP Chamber Wafer External Chamber Process Gases Quartz Chamber Tungsten-Halogen Lamp IR Pyrometer 79

80 Lamp Array Bottom Lamps Top Lamps Wafer 80

81 RTP Chamber Photo courtesy of Applied Materials, Inc 81

82 Annealing and Dopant Diffusion At higher temperature >1100 C anneal is faster than diffusion Post implantation prefer high temperature and high temperature ramp rate. Furnace has low temperature ramp rate (~10 C/min) lead to large thermal capacity Furnace annealing is a long process which causes more dopant diffusion Anneal Rate Diffusion Rate Temperature 82

83 Dopant Diffusion After Anneal Gate RTA Furnace anneal 83

84 Advantage of RTP over Furnace Much faster ramp rate (75 to 150 C/sec) Higher temperature (up to 1200 C) Faster process Minimize the dopant diffusion Better control of thermal budget Better wafer to wafer uniformity control Load wafer Ramp up Anneal Cool down Unload wafer Temperature N 2 Flow Time 84

85 Temperature of RTP & Furnace Temperature RTP Furnace Room Temp. Time 85

86 Cluster Tool RTO/RTP RTCVD α-si HF Vapor Clean Transfer Chamber Cool down Loading Station Unloading Station 86

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 10 Oxidation 2001 2000 by Prentice Hall Diffusion Area of Wafer Fabrication Wafer fabrication (front-end)

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes Hong Xiao, Ph. D. hxiao89@hotmail.com Objective List four thermal processes Describe thermal process in IC fabrication Describe thermal oxidation process Explain the advantage

More information

Oxidation SMT Yau - 1

Oxidation SMT Yau - 1 Oxidation Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Describe an oxide film for semiconductor manufacturing, including its atomic structure, how it is used

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Why silicon? Silicon oxide

Why silicon? Silicon oxide Oxidation Layering. Oxidation layering produces a thin layer of silicon dioxide, or oxide, on the substrate by exposing the wafer to a mixture of highpurity oxygen or water at ca. 1000 C (1800 F). Why

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 13: THERMAL- OXIDATION of SILICON 2004 by LATTICE PRESS Chapter 13: THERMAL-OXIDATION of SILICON n CHAPTER CONTENTS Applications of Thermal Silicon-Dioxide Physical

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO (glass) Major factor in making Silicon the main semiconductor Grown at high temperature in

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects EE 330 Lecture 8 IC Fabrication Technology Part II - Oxidation - Epitaxy - Polysilicon - Interconnects Review from Last Time MOS Transistor Bulk Source Gate Drain p-channel MOSFET Lightly-doped n-type

More information

Chapter 7 Polysilicon and Dielectric Film Deposition

Chapter 7 Polysilicon and Dielectric Film Deposition Chapter 7 Polysilicon and Dielectric Film Deposition Professor Paul K. Chu Thin Films in Microelectronics Polycrystalline silicon or polysilicon Doped or undoped silicon dioxide Stoichiometric or plasma-deposited

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Kinetics of Silicon Oxidation in a Rapid Thermal Processor

Kinetics of Silicon Oxidation in a Rapid Thermal Processor Kinetics of Silicon Oxidation in a Rapid Thermal Processor Asad M. Haider, Ph.D. Texas Instruments Dallas, Texas USA Presentation at the National Center of Physics International Spring Week 2010 Islamabad

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

Lecture #18 Fabrication OUTLINE

Lecture #18 Fabrication OUTLINE Transistors on a Chip Lecture #18 Fabrication OUTLINE IC Fabrication Technology Introduction the task at hand Doping Oxidation Thin-film deposition Lithography Etch Lithography trends Plasma processing

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: Chapter 4 1 CHAPTER 4: Oxidation Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: 1. mask against implant or diffusion of dopant into silicon 2. surface passivation

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant substrate Creates latch up protection for CMOS Buried Epi

More information

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński 2. Fabrication techniques KNU Seminar Course 2015 Robert Mroczyński Technological layers used in the course of the IC fabrication Semiconductors Fundamental part of each IC, active material of semiconductor

More information

Processing of Semiconducting Materials Prof. Pallab Banerjee Department of Material Science Indian Institute of Technology, Kharagpur

Processing of Semiconducting Materials Prof. Pallab Banerjee Department of Material Science Indian Institute of Technology, Kharagpur Processing of Semiconducting Materials Prof. Pallab Banerjee Department of Material Science Indian Institute of Technology, Kharagpur Lecture - 35 Oxidation I (Refer Slide Time: 00:24) Today s topic of

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Instructor: Dr. M. Razaghi. Silicon Oxidation

Instructor: Dr. M. Razaghi. Silicon Oxidation SILICON OXIDATION Silicon Oxidation Many different kinds of thin films are used to fabricate discrete devices and integrated circuits. Including: Thermal oxides Dielectric layers Polycrystalline silicon

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

EE THERMAL OXIDATION - Chapter 6. Basic Concepts

EE THERMAL OXIDATION - Chapter 6. Basic Concepts EE 22 FALL 999-00 THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. SiO 2 : Easily selectively etched using

More information

Chapter 2 MOS Fabrication Technology

Chapter 2 MOS Fabrication Technology Chapter 2 MOS Fabrication Technology Abstract This chapter is concerned with the fabrication of metal oxide semiconductor (MOS) technology. Various processes such as wafer fabrication, oxidation, mask

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

EE CMOS TECHNOLOGY- Chapter 2 in the Text

EE CMOS TECHNOLOGY- Chapter 2 in the Text 1 EE 212 FALL 1999-00 CMOS TECHOLOGY- Chapter 2 in the Text In this set of notes we will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors

More information

Microelectronic Device Instructional Laboratory. Table of Contents

Microelectronic Device Instructional Laboratory. Table of Contents Introduction Process Overview Microelectronic Device Instructional Laboratory Introduction Description Flowchart MOSFET Development Process Description Process Steps Cleaning Solvent Cleaning Photo Lithography

More information

This Appendix discusses the main IC fabrication processes.

This Appendix discusses the main IC fabrication processes. IC Fabrication B B.1 Introduction This Appendix discusses the main IC fabrication processes. B.2 NMOS fabrication NMOS transistors are formed in a p-type substrate. The NMOS fabrication process requires

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

Silicon Manufacturing

Silicon Manufacturing Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu th Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing

More information

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook CMOS Fabrication Dr. Bassam Jamil Adopted from slides of the textbook CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film layer at the surface Typically gas phase reactions

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance Ch. 5: p-n Junction Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance of functions such as rectification,

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion EE 330 Lecture 8 IC Fabrication Technology Part II?? - Masking - Photolithography - Deposition - Etching - Diffusion Review from Last Time Technology Files Provide Information About Process Process Flow

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

More on oxidation. Oxidation systems Measuring oxide thickness Substrate orientation Thin oxides Oxide quality Si/SiO2 interface Hafnium oxide

More on oxidation. Oxidation systems Measuring oxide thickness Substrate orientation Thin oxides Oxide quality Si/SiO2 interface Hafnium oxide More on oxidation Oxidation systems Measuring oxide thickness Substrate orientation Thin oxides Oxide quality Si/SiO2 interface Hafnium oxide EE 432/532 oxide measurements, etc 1 Oxidation systems silicon

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing CS/ECE 5710/6710 CMOS Processing Addison-Wesley N-type Transistor D G +Vgs + Vds S N-type from the top i electrons - Diffusion Mask Mask for just the diffused regions Top view shows patterns that make

More information

Oxidation of Silicon

Oxidation of Silicon OpenStax-CNX module: m24908 1 Oxidation of Silicon Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module was developed

More information

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

Rapid Thermal Processing (RTP) Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Rapid Thermal Processing (RTP) Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 LECTURE 200 BICMOS TECHNOLOGY (READING: Text-Sec. 2.11) INTRODUCTION Objective Illustrate BiCMOS technology Outline Introduction Physical process illustration

More information

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Si Oxidation by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan Visiting

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

4. Thermal Oxidation. a) Equipment Atmospheric Furnace 4. Thermal Oxidation a) Equipment Atmospheric Furnace Oxidation requires precise control of: temperature, T ambient gas, G time spent at any given T & G, t Vito Logiudice 34 4. Thermal Oxidation b) Mechanism

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

THERMAL OXIDATION - Chapter 6 Basic Concepts

THERMAL OXIDATION - Chapter 6 Basic Concepts THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. Oxide Thickness µm 0. µm 0 nm nm Thermally Grown Oxides

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Need strong selectivity from masking

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 11 Deposition Film Layers for an MSI Era NMOS Transistor Topside Nitride Pre-metal oxide Sidewall

More information

Interconnects OUTLINE

Interconnects OUTLINE Interconnects 1 Interconnects OUTLINE 1. Overview of Metallization 2. Introduction to Deposition Methods 3. Interconnect Technology 4. Contact Technology 5. Refractory Metals and their Silicides Reading:

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

IC/MEMS Fabrication - Outline. Fabrication

IC/MEMS Fabrication - Outline. Fabrication IC/MEMS Fabrication - Outline Fabrication overview Materials Wafer fabrication The Cycle: Deposition Lithography Etching Fabrication IC Fabrication Deposition Spin Casting PVD physical vapor deposition

More information

EE 143 CMOS Process Flow

EE 143 CMOS Process Flow EE 143 CMOS rocess Flow CT 84 D D G Sub G Sub S S G D S G D S + + + + - MOS Substrate Well - MOS Substrate EE 143 CMOS rocess Flow CT 85 hotoresist Si 3 4 SiO 2 Substrate selection: moderately high resistivity,

More information

Xilinx XC4036EX FPGA

Xilinx XC4036EX FPGA Construction Analysis Xilinx XC4036EX FPGA Report Number: SCA 9706-544 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003 3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss

More information