Reliability Challenges for 45nm and Beyond. J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas 75243

Size: px
Start display at page:

Download "Reliability Challenges for 45nm and Beyond. J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas 75243"

Transcription

1 Reliability Challenges for 45nm and Beyond J. W. McPherson, PhD, TI Senior Fellow Texas Instruments, Inc. Dallas, Texas DAC

2 2 Outline Transistor Performance with Scaling Gate Dielectric Scaling Interconnect Scaling Reliability Trends with Continued Scaling --- Leakage --- NBTI --- ESD --- SM --- TDDB --- CHC --- EM --- Joule Heating Defect Issues with Continued Scaling Conclusions/Summary

3 SOURCE GATE DRAIN MOSFET Scaling: More Evolutionary than Revolutionary Gate Oxide Gate Polysilicon Oxide Gate Salicide Salicide Cladding Cladding PolysiliconGate Sidewall SidewallSpacer Spacer Silicon Silicon Substrate Substrate FOM = Source/Drain Lightly LighlyDoped Drain (LDD) Channel Region Channel Region I drive ( C Junction + C Gate + C Interconnect ) V dd MOSFET Scaling : More Evolutionary than Revolutionary for 25 years 3

4 Transistor I drive Versus I off Trends 1.00E E-04 Subthreshold slope remains nearly constant from technology node to node: Ids (A) 1.00E E E-10 Vt must be reduced to maintain good Idrive at lower Vdd Ioff increases with lower Vt due to constant subthreshold slope 1.00E E Vgs (V) Increased transistor I drive usually implies increased I off 4

5 5 Strained-Silicon Performance Enhancement Significant Ion/Ioff improvement Raised S/D Implant S/D strain Substrate orientation PMD liner Strained channel Capped poly Recess S/D epi STI liner Active area epi Ioff log (A/μm) na/μm 35 % improvement Reference SiGe Normal Si lattice Strained Si lattice Improved mobility 680 μa/μm Ion (μa/μm) Defect in Silicon 1. Strained Si can produce an increase in I on without a degradation in I off. 2. Stress-induced defects can occur in the silicon if the stress is not applied properly.

6 Impact of Scaling on Gate-Dielectric Leakage Gate Leakage (A/cm 2 ) 10 4 High Performance Low Power SiO 2 Trendline EOT (Å) Nitrided oxide Gate leakage approaching 1000A/cm2. Nitrided oxides a little less. 6

7 Impact of High-k on Gate-Dielectric Leakage J V fb +1) (A/cm 2 ) EOT high k HfSiON nmoscap HfSiON pmoscap HfSiON NMOS SiO 2 Trendline nmoscap HfON Gate Leakage SiO 2 Trendline EOT (Å) SiO2 = ( ) ( thickness) high k k k High-k thickness can be kept relatively thick, to control leakage, while EOT can be made quite low. 7

8 Interconnect Scaling Trends Cu Cu Cu Cu t 2 Low-k 2 Cu A 1 Cu Low-k 1 A 2 Low-k 1 Cu t L t 2 Low-k 2 s w s Cu RC = 2 2ρ Cu k ε 1 ol 1 w s + k k 2 1 w s t t2 1. Cu resistivity ρ going up with scaling (due to boundary scattering). 2. Reduction in interconnect dielectric constant k has been slow. 3. Metal width w and space s continue to reduce with scaling. 4. Length L impacted by design/architecture. 8

9 Interconnect Low-k Dielectric Introduction Dielectric k-value ITRS Roadmap for Low-k Interconnect Dielectric 1999 ITRS 2003 ITRS 1997 ITRS 1999 ITRS 2001 ITRS 2003 ITRS Slipping Actual k~ ITRS 1997 ITRS Year of Production Introduction rate for Low-k interconnect dielectrics has been slow and continues to slip 9

10 Reliability Trends with Continued Scaling 10

11 EOT (Å) TDDB Trends for SiO 2 Gate Dielectrics 10.3Å k(sio 2 ) = 3.9 k(si 3 N 4 ) = Å 8.1Å t PHYSICAL = 12Å 7.3Å 6.3Å N (at%) AF (V -1 ) GATE VOLTAGE (Volts) Agere IBM IMEC TI V-¹ FIT N+ GATE +V G e - direct tunneling electrons H 0 H + SiON PWELL Lose bulk SiO 2 properties below 2 mono layers. 12Å t PHYS for manufacturing. S. Tang Apl. Surf. Sci 137 (1998) M. Green JAP (2001) Power Law Model t BD = av G -N AF = N/V G E. Wu IEDM 541 (2000) TI: P. Nicollian IRPS Tut. (2003) interface traps bulk traps TI: P. Nicollian IEDM (2005) Gate Oxide Integrity Controlled Through: Extensive TDDB testing carefully recording: Hard Breakdown, Soft- Breakdown and Stress-Induced Leakage Current Continual validation of power-law model with a complete physics understanding, e.g., roles of hydrogen species: H 0 and H + Carefully avoiding over-voltage conditions in designs and use conditions Ramped to breakdown testing for each wafer lot using skew and outlier statistical analysis for control 11

12 J V fb +1) (A/cm 2 ) TDDB Trends for High-k Dielectrics High-k Leakage Trends nmoscap HfSiON pmoscap HfSiON NMOS SiO 2 Trendline nmoscap HfON TI: Shanware: IEDM, 939 ( 2003). Reduced Leakage EOT (Å) High-k Gate Dielectric Summary: Leakage generally 2-3 decades lower versus same equivalent oxide thickness Breakdown strength E BD generally decreases with k. Have to be careful with too aggressive scaling of hgh-k thickness. Field/Voltage Acceleration γ increases with k and should be favorable for burnin reduction/elimination. 12

13 Poly NBTI Trends SiO 2 Eox H 0 H H H0 Silicon Interface State Generation Step 1: Si-H(3eV) + hole Si + H + Step 2: H + + e H 0 Step 3: H 0 + H 0 H 2 (4eV) NBTI Effects Controlled Through: Controlling excessive hydrogen Understanding full statistical NBTIdegradation distribution Determination of critical p-channel speed paths Designing with the NBTI-induced shifts comprehended Product Margin-Testing/Guard- Banding ΔFOSC (%) TI: A. T. Krishnan, IRPS Tutorials Stress1: VDD=2.8V/30mins/105 C -12 Stress2: VDD=2.8V/60mins/105 C Characterization V DD (V) TI: V. Reddy et. al., IRPS

14 VDD (V) Channel Hot-Carrier Trends Vdd reduced with scaling Vdd Trends Vdd held ~ constant Lifetime (Arbitrary) L (nm) L (nm) Channel Hot Carrier (CHC) Injection can degrade transistor parameters CHC Effects Controlled Through: Extensive CHC testing --- complete understanding of voltage, temperature and time-dependence of transistor parametric-degradation Determining the full statistical distribution of CHC degradation Circuit checkers, e.g., determination of critical speed paths Designing with the CHC-induced parametric shifts fully comprehended Product Margin-Testing/Guard-Banding NMOS PMOS CHC Lifetime Trend

15 Silicide Trends Sheet resistance (ohm/sq) Silicon consumption (nm) NiSi CoSi Silicide thickness (nm) NiSi CoSi Sheet resistance (ohms/sq) Ref: Lauwers et al, JVST-B, p.2026, 2001 Leakage (A/cm²) 1.E-07 1.E-08 1.E-09 1.E-10 NiSi Junction depth (nm) CoSi2 Ref: Lauwers et al, JVST-B, p.2026, 2001 Silicide : NiSi PtSi Pd 2 Si Resistivity: (μohm-cm) Sheet resistance for NiSi lower than CoSi 2 For same sheet resistance, average Si consumed is much less with NiSi For similar junction depth, lower junction leakage achieved with NiSi 32nm Silicide Challenges : Maintaining low sheet-resistance plus low junction-leakage a challenge Shallow junctions versus adequate annealing of implant-induced damage Raised source/drains required for low-sheet/low-leakage junctions? 15

16 ESD Trends Common Sources for ESD Damage to Semiconductor Devices: Feature Size IC Process Parameter <1 μm Silicide Impact on ESD robustness Poor thermal resistance Human Body <0.25 um Leff Local channel heating Machines Charged Devices nm 32 nm Tox <40 A FinFET SOI Oxide stress Metal current density Ch. Self-heating Every technology advance has significant impact on ESD design for the IC circuits ESD Controlled Through: Special ESD protection circuits are designed and implemented on chip Understanding and mitigating the impact of processing on ESD circuits ESD Checkers are used to aid designers Extensive ESD and Latchup testing of final product JEDIC standards used for shipping, handling and product use 16

17 Electromigration-Induced Damage in Metal Extrusion e - M e - Voids TI: E. Ogawa, 2001 IEEE-IRPS Tutorial Electromigration (EM) Effects Controlled Through: Interconnect Process-Robustness Extensive EM Testing Current Design Rules Based On: Operating Temp, Duty Cycle, AC vs. DC Operation, Metal Length and Width Considerations Current Density Checkers and Power-Density (Hot-Spot) Analysis 17

18 M2 Cu Stress-Induced Via-Voiding VDP Structure Void Formation Kinetics Relative % of Failing Sites (48 total) OPEN >100% >50% >20% >10% >5% % nm 100 C 150 C 200 C 250 C M1 V1 70% 60% 50% 40% 30% 20% 10% 0% VDP Test Structure 168 hrs 336 hrs 500 hrs 168 hrs 336 hrs 500 hrs Constrained-Cu grain-growth & excess vacancies. Interfacial vacancy diffusion & precipitation. TI: E.Ogawa, et al., : IEEE-IRPS, 312 (2002) 168 hrs 336 hrs 500 hrs 168 hrs 336 hrs 500 hrs Relative Creep Rate SM Model : R ( T where: Creep/Voiding Rate Model N 3.2, Q 0.74 ev, T M-D Equation McPherson & Dunn SM Model 0 T) ΔR > 5%, 168 hrs 190 C N Temperature ( C) Exp( Observed stress-induced Cu via-voiding described McPherson & Dunn Model TI: McPherson & Dunn, J. Vac. Sci. Tech. B, 1321(1987) C T 0 Q ) k T Stress Migration (SM) Effects Controlled Through: Post Cu-deposition anneals, strong capping layer adhesion, and SM bakes Redundant vias needed for wide metal leads Structure/layout dependent via rules for critical vias 18 B

19 Low-k Interconnect Dielectric Trends Time to Failure (Arbitary Unit) OSG(k=2.9) P-MSQ (k=2.4) γ TDDB PETEOS(k=4.2) FSG(k=3.5) SiO TI: E. Ogawa, et al., IRPS 2003 Ebd E (MV/cm) Lower-k silica-based materials generally have lower Ebd and TDDB Lower-k materials generally have lower modulus and lower mechanical strength UV and/or e-beam shown to be effective at increasing strength/hardness Low-k Dielectric Issues Controlled Through: Extensive TDDB, adhesion, cohesion, and crack propagation testing Detailed design rules for minimum-pitch line-lengths Dual ramp-rate breakdown testing (TI: G. Haase, et al., IRPS, 466 (2005) 19

20 Temperature Rise [ C] Interconnect Joule Heating Trends Dielectric Thermal Material Constant Conductivity (mw/ o C-cm) PE-TEOS 4.2 ~ 12 FSG 3.6 ~ 8 OSG 2.8 ~ 5 M4, FSG M3, FSG M2, FSG M4, OSG M3, OSG M2, OSG Current Density [MA/cm2] Joule heating in metal leads becomes an issue for current densities > 1MA/cm 2 and is exacerbated by low-k. 20

21 Defect Detection Trends with Scaling Killing interconnect defects: historically ~ 50% of geometry/space killer defect size Inm) technology nodes (nm) cumulative probability % Actually, today, killing interconnect defects can be atomic/molecular in size breakdown field (MV/cm) Killing Defects are becoming increasingly difficult to resolve physically In some cases, the killing defect can be atomic/molecular in size. Must rely more on electrical versus physical signature. Electrical breakdown and TDDB measurements of interconnect dielectrics have become standard interconnect reliability test. Dual ramp-rate breakdown tests now used to determine true minimum to metal-to-metal spacing for interconnects hundreds of meters long 21 7hr 3hr 1hr Impact of timewindow on ILD breakdown strength

22 Photolithography Issues with Continued Scaling ---What you draw is not exactly what you get Contact pad area loss Asymmetric pitch CD control N3 N4 Constrained gate end loss Information is lost Gate CD flaring near pads/turns 22

23 Lithography fewer tricks remain Various Strategies/Tricks: - Attenuated phase shift (130nm) - Model-Based OPC (130nm) - Alternating phase shift (90nm) - Sub-resolution assist feat.( 65nm) - Restricted design rules (45nm) - Immersion lithography (45nm) 32nm Challenges for Lithography Highly restricted design rules and high-index immersion for lithography Double-exposure at multiple layers Full 3D-electromagnetic litho simulation for RET/OPC 23

24 Reliability Impact of Continued Scaling --- Conclusions MOSFET Scaling --- more evolutionary than revolutionary Transistor I drive Increases --- normally come with increases in I off Strained Si --- offers improved I drive without I off increase SiO 2 Gate Dielectrics (~1.2nm thick) --- very leaky ( A/cm2) High-k Gate Dielectrics --- reduced leakage (~ 1000x for same EOT) High-k Films --- lower Ebd but improved field acceleration γ NBTI --- impact on V min greater due to smaller voltage headroom CHC --- no longer benefiting from Vdd reduction Silicides --- low sheet resistance and low junction leakage at odds ESD --- never seems to get easier Interconnect RC --- starting to dominate circuit performance EM and SM --- likely will require some type of Cu-cladding Joule Heating --- more severe with lower-k Defect Detection --- must rely more on electrical signature Litho-Induced Defects --- RET/OPC becoming increasingly important Summary: More Design Attention will be required for Reliability Assurance 24

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation A 45nm Logic Technology with High-k + Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom,

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Layout-related stress effects on TID-induced leakage current

Layout-related stress effects on TID-induced leakage current Layout-related stress effects on TID-induced leakage current Nadia Rezzak, R. D. Schrimpf, M. L. Alles, En Xia Zhang, Daniel M. Fleetwood, Yanfeng Albert Li Radiation Effects Group Vanderbilt University,

More information

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond Fareen Adeni Khaja Global Product Manager, Front End Products Transistor and Interconnect Group NCCAVS

More information

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon Paul Packan, S. Cea*, H. Deshpande, T. Ghani, M. Giles*, O. Golonzka, M. Hattendorf, R. Kotlyar*, K. Kuhn, A. Murthy, P.

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Defect Engineering in Advanced Devices on High-Mobility Substrates

Defect Engineering in Advanced Devices on High-Mobility Substrates Defect Engineering in Advanced Devices on High-Mobility Substrates C. Claeys 1,2 1 IMEC, Leuven, Belgium 2 E.E. Dept., KU Leuven, Leuven, Belgium Outline Introduction Defect Studies Why important Challenges

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering Jack Kavalieros, Brian Doyle, Suman Datta, Gilbert Dewey, Mark Doczy, Ben Jin, Dan Lionberger, Matthew

More information

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Michael Aquilino M.S. Thesis Defense Department May 19, 2006 Motivation o Enable the department to continue

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Materials Characterization for Stress Management

Materials Characterization for Stress Management Materials Characterization for Stress Management Ehrenfried Zschech, Fraunhofer IZFP Dresden, Germany Workshop on Stress Management for 3D ICs using TSVs San Francisco/CA, July 13, 2010 Outline Stress

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 Lecture 200 BiCMOS Technology (12/12/01) Page 200-1 LECTURE 200 BICMOS TECHNOLOGY (READING: Text-Sec. 2.11) INTRODUCTION Objective Illustrate BiCMOS technology Outline Introduction Physical process illustration

More information

SiC MOSFET Gate Oxide Breakdown From Extrinsic to Intrinsic

SiC MOSFET Gate Oxide Breakdown From Extrinsic to Intrinsic SiC MOSFET Gate Oxide Breakdown From Extrinsic to Intrinsic J. Chbili,3, Z. Chbili,, A. Matsuda, J. P. Campbell, K. Matocha 4, K. P. Cheung * ) NIST, MD ) George Mason University, VA 3) Laboratoire SSC,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Interconnects OUTLINE

Interconnects OUTLINE Interconnects 1 Interconnects OUTLINE 1. Overview of Metallization 2. Introduction to Deposition Methods 3. Interconnect Technology 4. Contact Technology 5. Refractory Metals and their Silicides Reading:

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

Strain for CMOS performance Improvement

Strain for CMOS performance Improvement IBM Corporation Strain for CMOS performance Improvement +Victor Chan, +Ken Rim, #Meikei Ieong, +Sam Yang, +Rajeev Malik, Young Way Teh, #Min Yang, #Qiqing (Christine) Ouyang +IBM Systems & Technology Group,

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST 2008 1833 Radiation Effects in MOS Oxides James R. Schwank, Fellow, IEEE, Marty R. Shaneyfelt, Fellow, IEEE, Daniel M. Fleetwood, Fellow, IEEE,

More information

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Is Now Part of To learn more about ON Semiconductor, please visit our website at Is Now Part of To learn more about ON Semiconductor, please visit our website at www.onsemi.com ON Semiconductor and the ON Semiconductor logo are trademarks of Semiconductor Components Industries, LLC

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

New Materials and Processes for Advanced Chip Manufacturing

New Materials and Processes for Advanced Chip Manufacturing New Materials and Processes for Advanced Chip Manufacturing Bob Hollands Director Technical Marketing EXANE BNP Paribas Tech Expert Access Event London June 27, 2013 Outline New Materials: Moore s Law

More information

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Arvind NV, Krishna Panda, Anthony Hill Inc. March 2014 Outline Motivation Uncertainty in SOC Design Leveraging Uncertainty

More information

Development of Low Temperature Oxidation Process Using Ozone For VlSI

Development of Low Temperature Oxidation Process Using Ozone For VlSI Development of Low Temperature Oxidation Process Using Ozone For VlSI Yudhvir Singh Chib Electronics & Communication Department, Thapar University, Patiala, India Abstract: With decreasing size of MOS

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate H. Park, M. Chang, H. Yang, M. S. Rahman, M. Cho, B.H. Lee*, R. Choi*,

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy Jun-Mo Yang, Ph.D. Measurement & Analysis Team National NanoFab Center, Korea Introduction

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

3 Failure Mechanism of Semiconductor Devices

3 Failure Mechanism of Semiconductor Devices 3 Failure Mechanism of Semiconductor Devices Contents 3.1 Reliability Factor and Failure Mechanism of Semiconductor Devices 3-1 3.1.1 Reliability factors 3-1 3.1.2 Failure factors and mechanisms of semiconductor

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

The Journey to FinFETs

The Journey to FinFETs The Journey to FinFETs Alvin Loke 30-Apr-2015 Qualcomm Learning Center The 10000-Foot View A Switch small, fast, thrifty Scaling Performance Energy-Efficient Slide 1 CMOS Scaling Still Alive Intel 22nm

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Hei Wong.

Hei Wong. Defects and Disorders in Hafnium Oxide and at Hafnium Oxide/Silicon Interface Hei Wong City University of Hong Kong Email: heiwong@ieee.org Tokyo MQ2012 1 Outline 1. Introduction, disorders and defects

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

id : class06 passwd: class06

id : class06 passwd: class06 http://wwwee.stanford.edu/class_directory.php http://ocw.mit.edu/ocwweb/index.htm http://nanosioe.ee.ntu.edu.tw id : class06 passwd: class06 Display and OLED Market OLED on glass only ~US$ 0.5B in 04,

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

The semiconductor industry is now

The semiconductor industry is now Gate Dielectric Process Technology for the Sub-1 nm Equivalent Oxide Thickness (EOT) Era by L. Colombo, J. J. Chambers, and H. Niimi The semiconductor industry is now in its third generation of gate dielectrics.

More information

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS Interface Structure and Charge Trapping in HfO 2 -based MOSFETS MURI - ANNUAL REVIEW, 13 and 14 th May 2008 S.K. Dixit 1, 2, T. Feng 6 X.J. Zhou 3, R.D. Schrimpf 3, D.M. Fleetwood 3,4, S.T. Pantelides

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali er l Elettronica Danilo Manstretta danilo.manstretta@univ.it microlab.univ.it Outline Passive comonents Resistors Caacitors Inductors Printed circuits technologies Materials

More information

Fabrication and Layout

Fabrication and Layout Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1,

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Lecture 2. Fabrication and Layout

Lecture 2. Fabrication and Layout Lecture 2 Fabrication and Layout Mark Horowitz Modified by Azita Emami Computer Systems Laboratory Stanford University azita@stanford.edu 1 Overview Reading W&E 3.1(scan), 3.2.1, 3.3.1 - Fabrication W&E

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

RADIATION HARDNESS OF MEMRISTIVE SYSTEMS

RADIATION HARDNESS OF MEMRISTIVE SYSTEMS RADIATION HARDNESS OF MEMRISTIVE SYSTEMS A. FANTINI ON BEHALF OF IMEC RRAM TEAM AND VU ISDE TEAM Workshop on Memristive systems for Space applications ESTEC - 30/04/2015 OUTLINE Introduction RRAM for space

More information

Design and Optimization of 22nm NMOS Transistor

Design and Optimization of 22nm NMOS Transistor Australian Journal of Basic and Applied Sciences, 6(7): 1-8, 01 ISSN 1991-8178 Design and Optimization of nm NMOS Transistor 1 Afifah Maheran A.H., 1 Menon, P.S., I. Ahmad, 1 S. Shaari, 1 H.A. Elgomati,

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Lattice isplsi1032e CPLD

Lattice isplsi1032e CPLD Construction Analysis Lattice isplsi1032e CPLD Report Number: SCA 9612-522 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +, 2+ or 3+ ionization) Use analyzer to selection charge/mass

More information

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan Improvement of Interface Properties of W/La O 3 /Si MOS Structure Using Al Capping Layer K. Tachi a, K. Kakushima b, P. Ahmet a, K. Tsutsui b, N. Sugii b, T. Hattori a, and H. Iwai a a Frontier Collaborative

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information