Impact of New MoSi Mask Compositions on Processing and Repair

Size: px
Start display at page:

Download "Impact of New MoSi Mask Compositions on Processing and Repair"

Transcription

1 Impact of New MoSi Mask Compositions on Processing and Repair Anthony Garetto 1, John Stuckey 2, Don Butler 2 1 Carl Zeiss SMS Inc., 1 Corporation Way, Peabody, MA 2 MP Mask Technology Center LLC, 8000 S. Federal Way, Boise, ID ABSTRACT The mask industry has recently witnessed an increasing number of new MoSi mask blank materials which are quickly replacing the older materials as the standard in high end mask shops. These new materials, including OMOG (opaque MoSi on glass) and high transmission (Hi-T) films, are driven foremost by the need to reduce feature size through resolution enhancement techniques (RET). The subject of this paper is a new low stress, Hi-T material which addresses the challenges presented by transitioning to smaller technology nodes including difficulties with pattern transfer, cleaning and repair. This material, based on currently employed MoSi films, eliminates process steps and utilizes a thinner overall substrate stack than currently used Hi-T schemes allowing an increase in critical dimension (CD) uniformity and feature resolution and more robustness due to a lower aspect ratio. While this new material is MoSi based the small compositional change requires, in some cases, a significant change in processing. Among the most impacted areas are the etch, clean and repair steps. Given the potential for defects to manifest on masks, repair is an invaluable step that can significantly impact the overall yield and lead to a reduction in cycle time 1. The Carl Zeiss MeRiT electron beam mask repair line provides the most advanced repair capabilities allowing a wide range of repairs to be performed on a number of mask types 2. In a joint effort between MP Mask Technology Center LLC and Carl Zeiss SMT, this paper focuses on the benefits of the new Hi-T mask blank and the challenges it presents to the repair community. The differences between the new low stress, Hi-T material and current Hi-T technologies are presented and on site compositional analysis is performed with x-ray photoelectron spectroscopy (XPS) to illuminate the compositional differences. The development of a repair process for the new material utilizing the on-site Carl Zeiss MeRiT MG 45 is presented along with several repairs and their AIMS results. 2. KEYWORDS MeRiT MG45, AIMS i, mask repair, defect repair, new MoSi materials, Hi-T, high transmission 3. INTRODUCTION Embedded attenuated phase shift mask (EAPSM) technology is one of the most utilized RETs in high end mask shops today. The use of MoSi films which allow a small amount of the incident light to be transmitted through the opaque material, commonly 6% at a 180 degree phase shift with respect to the clear regions, allows for higher contrast and the ability to pattern smaller, more complex features than binary masks 3. However, as the limits of this technology are currently being pushed the need to further reduce feature size and improve the process window has sparked interest in a number of new MoSi based films in order to bridge the gap between current mask manufacturing capabilities and the next technology. The importance of extending MoSi based materials as far as possible can be understood when considering the industry infrastructure. All current tools, materials and processes such as etching, cleaning and repair are based on well established methodologies for MoSi based materials that have been developed through years of experience. Extending MoSi based materials by employing more radical RETs allows the mask house to obtain better performance with the current infrastructure conserving a significant amount of time, money and effort. In order to address the increasing difficulty in inspection that is brought about by compounding feature complexity, much attention has been given to the use of OMOG which is currently employed in some mask shops. Due to its binary nature, OMOG demonstrates many advantages over 6% EAPSM such as improved resolution and CD uniformity and an improvement in the inspectability 4-6. Since the early 1990 s Hi-T films were presented as an extension of the 6% Photomask Technology 2010, edited by M. Warren Montgomery, Wilhelm Maurer, Proc. of SPIE Vol. 7823, SPIE CCC code: X/10/$18 doi: / Proc. of SPIE Vol

2 EAPSM technology that provided many benefits leading to an improvement in the process window over 6% EAPSM These benefits include enhanced image contrast, increased exposure latitude (EL) and depth of focus (DOF), and reduced mask error factor (MEF). In addition improved pattern fidelity and resolution have also been cited 13,14. This paper reviews the benefits of Hi-T materials over 6% EAPSM and, more specifically, those of a new low stress film over the existing Hi-T scheme. In a joint effort between MP Mask Technology Center LLC and Carl Zeiss SMT, the development of a repair process for this new mask type utilizing the on-site Carl Zeiss MeRiT MG 45 will be presented. Initial challenges to the process are discussed followed by XPS compositional analysis performed on site at the Micron Surface Analysis Lab on the new film and currently employed film to elucidate some of the initial challenges faced while developing the etch process. The development of a production worthy repair process will be presented along with their printability results as measured with the on-site AIMS i. 4. BENEFITS OF THE LOW STRESS FILM OVER OTHER HI-T SCHEMES Hi-T generally refers to EAPSM materials that allow greater than 8% of the light through the opaque regions of the pattern at a 180 degree phase shift with respect to the clear areas. Many Hi-T materials have been investigated in the past including SCHOTT Ta/SiON stacks and the SCHOTT Cr/SiO 2 /Ta stack that was introduced in These two layer stacks allow the flexibility to customize the optical properties by reducing the thickness of the Ta layer which increases the transmission by up to 40% 15. In addition the Ta layer acts as an etch stop and protective layer so the optical properties of the mask remain unaltered through many cleans, a clear benefit over MoSi materials. However, due to the multi-layer stack, extra processing steps are required increasing the complexity of processing and the chance of introducing critical defects. In addition adjustments to the current processing steps may be necessary to optimize the performance of the new materials. Hi-T EAPSM is presently used in production for certain memory device layers but due to concerns such as side lobe printing from the background transmission levels, has not been generally accepted for the manufacture of logic devices 16,17,3. A MoSi based Hi-T material developed by Hoya Corporation, has been used for several years now by MP Mask Technology Center LLC in the production of masks for dynamic random access memory (DRAM) 18. This current Hi-T blank utilizes a thin layer of already existing MoSi material, known as A61A, to acquire 18% transmission, while a quartz etch step is employed to obtain the required 180 degree phase shift. These Hi-T masks require no new processing as they utilize a MoSi material that is already in production and are therefore easily incorporated into the existing mask house infrastructure. This allows the mask shop to reap the benefits of transitioning to a Hi-T process without requiring drastic changes to their processing steps. The new low stress Hi-T film is Hoya Corporation s second revision for a MoSi based Hi-T material developed in order to provide additional benefits over the previous version. It is a derivative of the commonly used A61A MoSi material that, due to slight compositional differences, has two major benefits over the current material. First of all, the latest blank is a stress free Hi-T material with a total indicated range (TIR) reported (by HOYA) to be μm over 142 mm 2 indicating a high level of flatness. These properties lead to a high level of pattern fidelity, reproducibility and process robustness which is of utmost importance as we approach smaller technology nodes. Secondly, the new material provides both the necessary transmission and phase shift without the quartz etch step required with the current blank. The lack of the quartz etch step and the associated wet clean, further reduces the overall cycle time. The fact that phase relationship is primarily accomplished in the MoSi film, and not in the depth of a quartz etch, leads to better phase control because reliably stopping the quartz etch uniformly at a fixed depth is difficult due to the inability to endpoint. The final benefit of eliminating the quartz etch step and associated processes, is the minimization of potential hard defects induced from these steps. Introduced quartz defects are difficult to repair and also hard to detect during inspection as they can be below the nuisance threshold of the inspection tool yet still printable. Proc. of SPIE Vol

3 Figure 1. Comparison of material thickness and overall stack height for the MoSi based Hi-T materials. The new low stress blank is two-thirds the total height of the original Hi-T blank and does not require a separate quartz etch step. Because of the elimination of the quartz etch step, the new blank has a thinner overall stack height than the original. Figure 1 shows to scale that although the MoSi layer is thicker for the new low stress material, the lack of the quartz etch step leads to a significantly lower aspect ratio for features of the same node providing higher mechanical stability and therefore more robustness during processing. This is especially true for sub-resolution assist features (SRAF) which demonstrate a high susceptibility to damage from megasonics during wet cleans and lateral forces imparted by other technologies 19. Additionally, the comparably thicker MoSi layer for the new material makes endpointing during plasma etching with optical emissions system (OES) less challenging than for the thinner MoSi layer of the existing Hi-T material. The general differences between the two Hi-T blanks have been presented in this section in order to provide a basis of understanding as to the practical benefits of utilizing the new Hi-T material in production. The new Hi-T material is a stress free film that requires no quartz etch step providing an improvement in phase control, a reduction in processing time and fewer steps with which to introduce defects. Additionally, the thicker MoSi layer provides better OES endpointing and the shorter overall stack height promises more robustness to cleaning and processing due to the lower aspect ratio. 5. PROCESS AND REPAIR CHALLENGES When a new mask type is being considered for production it is thoroughly tested on the front end but generally less time is spent on validating the back end processes. When it comes to plasma etching the same MoSi etch process can be used to etch the new and current Hi-T films with the benefit of better control over the endpointing for the new film as mentioned above. The low stress nature and low aspect ratio of the new Hi-T film provides another benefit in that more aggressive megasonic power can be used during cleaning. With this knowledge it may be easy to assume that the new Hi-T material would not require a significant change to any processing steps but, as was found with the repair process, this is not the case. Repair development for the new Hi-T material consists of two new processes: an opaque or etch repair process and a clear or deposition process. Development of a clear repair process is much easier than that of the opaque repair process for one main reason. The deposition process utilizes the same precursor chemistry to deposit materials that are tuned to match the optical properties of the particular mask. The only interaction with the substrate is when the deposition is initiated and, for all intents and purposes, this substrate is always quartz. The etching process, on the other hand relies Proc. of SPIE Vol

4 heavily on a chemical reaction between the precursor fragments and the surface atoms of the substrate resulting in a volatile product that is then pumped away. Therefore, the reaction depends heavily on the opaque material which is, in this case the variable. For this reason, the deposition process is generally straight forward while the etching process differs more significantly from mask type to mask type. As there are no major obstacles to a deposition process, the focus of this section will be on challenges associated with the etching process. An important parameter when considering any etching process is the selectivity. Selectivity is defined as the ratio of the etch rate of one material, generally the material intended to be processed, to the etch rate of a second material, usually a material that one desires not to etch. The higher this ratio, the more selective the process is and the easier it is to reproducibly obtain the desired results. In order to have a good selectivity for an opaque repair process the MoSi etch rate would desirably be much higher than that of the quartz so that once the quartz is reached the etch rate dramatically slows or ideally stops altogether providing no damage to the quartz. Investigation of the etch selectivity of the new Hi-T MoSi over quartz was performed by etching 500 nm by 500 nm square boxes with fixed exposure doses and identical conditions in both MoSi and quartz. Three sets of boxes were etched with differing relative etch times as shown in Figure 2 below. After the boxes were etched, depth data was collected with a Veeco Dimension atomic force microscope (AFM) shown in Figure 2. The selectivity of the new MoSi was found by dividing the depth etched into the MoSi material by the depth etched into the quartz under identical conditions while the inverse of this yields the quartz selectivity. The table shows that the selectivity of the new MoSi is about 0.37 meaning the quartz etches at a rate almost three times faster than the MoSi, exactly the opposite of a desirable process for opaque repair. Figure 2. Three-dimensional AFM image of three sets of boxes etched in the new MoSi material and quartz under identical conditions. The relative etch time, depth and selectivity data is shown to the right. In order to compensate for the poor selectivity of MoSi over quartz some mechanism must be employed to prevent etching damage to the quartz once the MoSi has been removed. Utilizing the backscattered electron (BSE) signal has been shown to effectively and reproducibly stop etching at the interface resulting in a smooth quartz surface even for partial height defects with varied topography 2. The difference in the atomic number between the opaque material and the quartz provides a BSE signal change at the interface that is used to monitor and stop the etching process. Figure 3a) shows a BSE image of a line and space pattern for the current Hi-T mask and the new low-stress Hi-T mask. In Figure 3b) the same images are shown with the contrast enhanced and an intensity linescan is given for all of the images. The difference in BSE intensity between the lines and spaces for the current Hi-T material is clearly higher than that of the new Hi-T material which indicates that it will be challenging to develop a process that can provide accurate and reliable results. Proc. of SPIE Vol

5 Figure 3. BSE images of line and space patterns to demonstrate the BSE signal difference between the current Hi-T MoSi and quartz versus the new Hi-T MoSi and quartz. The BSE images in a) are as captured while the images in b) have the contrast maximized in order to enhance the differences in signal. In both images the current MoSi material and quartz have a higher BSE signal contrast than the new MoSi material and quartz. Line scans are shown below each image to reveal the noise. The poor etch selectivity of the new Hi-T MoSi material over quartz combined with the small BSE signal difference between the two presented a significant challenge in developing an opaque repair process for the new low stress, Hi-T substrate. 6. LOW STRESS HI-T REPAIR DEVELOPMENT When developing an etch process for any mask in the MeRiT electron beam mask repair system, one fact that must be taken into account is the chemical nature of the process. This means that for new materials, or compositional variations of presently existing materials, the etch process must be modified from an existing one or completely developed in order to optimize the repair process. Regardless of this requirement, however, there are many benefits over other repair techniques 1,2. In fact, when considering the 32 nm node and below, as well as extreme ultra-violet (EUV) technology, electron beam based repair is the only feasible methodology that can precisely repair such intricate features without detrimental effects to the optical properties. Initial challenges in developing an etch repair process for the new Hi-T mask were presented in the previous section including the poor selectivity of the new MoSi to quartz and the small BSE signal difference between the two materials, both of which make it difficult to develop a robust repair process. In order to investigate the observed differences Proc. of SPIE Vol

6 between the new and old MoSi, and to provide further understanding of the new material, compositional depth profile data was acquired by XPS on samples of both materials at the Micron Surface Analysis Lab. Depth profile data was acquired by alternating surface scans with ion sputtering over a 2 by 2 mm raster area with a 2 kv beam. Under these conditions a sputtering rate of approximately 10.1 nm/min was calibrated by a SiO 2 standard, which is known to have a similar physical sputtering rate as MoSi based materials. The detailed results of this compositional analysis will not be provided here due to proprietary reasons, however it will be noted that the elemental constituents between the two MoSi types remained constant with only slight relative compositional variations. Based on this compositional data the effective atomic numbers (Z) were calculated by taking the weighted average of each elemental component yielding Z=18.27 for the current MoSi and Z=14.44 for the new MoSi. Assuming the composition of quartz to be composed of SiO 2, an effective atomic mass of is found. Since the BSE signal, which we utilize to control etching, is dependent on the atomic number it is quite obvious why there is less of a difference between the new MoSi and quartz than the current MoSi and quartz. We can further quantify this by calculating the BSE coefficient, η, which is the number of BSE that exit the surface per incident beam electron. The BSE coefficient can be determined by the following equation 20 : η= z Z Z 3 where Z is the atomic number of the element, or in this case the effective atomic number calculated above. This yields a BSE coefficient of η= for the current MoSi, η= for the new MoSi, and η=.1268 for quartz. Therefore, the difference in BSE created between the current MoSi and quartz is 2.05 times that of the difference between the new MoSi and quartz, which explains the lack of contrast seen previously in the BSE images. The effective atomic number calculated above can also be useful in understanding another aspect of our etch process development. It is a commonly accepted concept in electron beam induced chemistry that not only the primary beam is responsible for the chemical reactions that result in deposition or etching, but the secondary electrons (SE) and backscattered electrons (BSE) have some contribution as well. For this reason the effective atomic numbers above were utilized in the Monte Carlo electron trajectory simulation software Casino 21 to look for differences in interaction volume that may suggest a larger or smaller effective beam area. This provides information about line edge placement and pattern sizing for the repair process. As can be seen from Figure 4, the interaction volume for the current MoSi is larger than that of the new MoSi, which is expected from the effective atomic numbers calculated above. Since it is only the BSE and the SE that are generated within the escape depth of the surface that will contribute to the process it appears that the active beam area is around 32 nm for the current MoSi and 24 nm for the new MoSi. This indicates the need to reduce the bias in order to obtain the same line edge placement and feature size as that of the current MoSi, which is what was found experimentally. Proc. of SPIE Vol

7 Figure 4. Interaction volumes calculated using Casino Monte Carlo simulation software showing the difference in electron beam interaction volume due to compositional changes between the current and new MoSi films. Blue trajectories represent the interaction volume within the material while the red trajectories represent BSE and SE that exit the surface. With an understanding of the bias adjustment that must be made, the next step in developing the opaque repair process for the new MoSi was to start with the process for the most compositionally similar material (which is the current Hi-T MoSi) and make adjustments to this recipe to compensate for the smaller BSE signal difference. Increasing the difference in BSE signal through detector settings also increases the noise (see linescans in Figure 2) which yields no improvement, so the signal to noise ratio must be increased by integrating the signal longer. Additionally, slowing the etch rate provides a bigger process window to stop etching at the interface without damaging the quartz. For a particular chemistry the two most important parameters that affect the etch rate are the supply rate of the precursor gas molecules and the electron beam density distribution. As beam current is held constant, the introduction rate of the precursor gas and the scanning methodology of the beam can be manipulated in order to adjust the etching process. With the goal of increased throughput being second only to a robust process in the production world, the precursor introduction rate and scanning parameters were adjusted in order to obtain an acceptable, yet time sensitive process. Several matrices of test recipes were run varying the precursor pressure and scanning parameters and Figure 5 shows three examples of etch boxes that were used to test and develop the new etch process. Figure 5a) and b) were both run with precursor chamber pressures of 6.2e-6 mbar but with different beam scanning methodologies. In Figure 5a) the particular combination of precursor introduction rate and beam scanning methodology consistently over etched into the quartz. With the precursor introduction rate held constant, an optimization of the scanning technique provided a process that did not etch into the quartz, but resulted in a non-smooth interface with a root mean square (RMS) surface roughness of 4.3 nm shown in Figure 5b). A decrease in the precursor chamber pressure to 2.7e-6 mbar and modification to the scanning parameters resulted in the box in Figure 5c) which had an RMS surface roughness of only 2.9 nm. The etching time for these boxes nearly doubled from 10 minutes to 18 minutes, but this was deemed acceptable for the increase in quality of the process. Proc. of SPIE Vol

8 Figure 5. Series of etched boxes showing the effect of precursor gas introduction and scanning methodology. The precursor chamber pressure was 6.2e-6 mbar for the boxes in a) and b) which had different beam scan methods. The box in c) had a precursor chamber pressure of 2.7e-6 mbar and optimized scanning parameters that provided an increase in etch time but a decrease in the root mean square surface roughness and process robustness. Once the basic process was developed, a programmed defect mask with several different patterns and technology nodes was utilized in order to perform final recipe adjustments such as placement and biasing and to verify that the recipe provides good printability results. Figure 6 shows a programmed opaque extension defect on a complex 45 nm pattern before repair in a) and after repair in b). The on site AIMS i was used to determine the quality of the repairs and while AIMS images were not disclosed in order to protect the design of the customer, the results are summarized in tabular form to the right of the images for the worst region of the repair. It can be seen that the intensity and through focus CD are both very close to the reference indicating that the repair has removed all of the MoSi material without causing noticeable damage to the quartz underneath. Figure 6. Programmed defect of an opaque extension on an intricate 45 nm pattern a) before repair and b) after repair. AIMS results are summarized in the table to the right. Another repair is shown in Figure 7a) before the repair and b) after repair for a pattern of 45 nm hour glass contact type features. This pattern is larger and more complex consisting of four affected contacts which each need to be repaired and representing one of the more difficult types of defect to repair. Not only does the number of small, intricate repairs make it challenging for most repair tools, but the fact that several neighboring features are all affected means that each repair must be of high quality, otherwise the effect is compounded by the neighboring repairs. The summarized AIMS Proc. of SPIE Vol

9 results at the right show this type of complex defect is no problem for the MeRiT. Each clear feature was measured both horizontally and vertically at the worst area. Figure 7. Complex 45 nm hourglass array defect a) before repair and b) after repair showing the excellent accuracy and precision. The table to the right summarizes the AIMS data for several measurements within the field of view. We have already touched on the ease with which the deposition process can be developed and the fact that no major challenges arise for clear repair. The deposition process was developed by adjusting the phase shift and transmission of an existing Hi-T process to match that of the new Hi-T material through a series of programmed defects and AIMS measurements. The final repair shown in Figure 8 demonstrates the etch and deposition process that has been previously presented1. This process has many practical applications for repair such as the ability to remove and reconstruct partial height PSM features or to correct features damaged by other repair tools. A portion of a complex 45 nm wavy line pattern was removed in Figure 8a) using the newly developed MoSi etching process shown in the last two examples. This portion of the line was then reconstructed with the new Hi-T PSM deposition process as shown in Figure 8b) and the AIMS results for the worst area of the dark line and the clear space to the left and right are presented showing a good intensity match and through focus CD behavior. Proc. of SPIE Vol

10 Figure 8. Demonstration of a combination etch and deposition repair. The wavy line feature was a) etched to remove the segment of line which was b) reconstructed with a deposition. AIMS results are summarized in the table to the right for the worst area of the repair. 7. SUMMARY AND CONCLUSIONS As feature sizes continue to shrink and become more intricate, Hi-T materials have been utilized to extend lithography at 193 nm by bridging the gap between 6% EAPSM and the next generation of technology. MP Mask Technology Center LLC has been utilizing a Hi-T material produced by Hoya Corporation in production for the last few years and has recently made the transition to the second revision of Hi-T material. This newest revision of Hi-T material is a stress free film that additionally eliminates the quartz etch step providing a number of benefits including an increase in CD uniformity and feature resolution, fewer processing steps and more robustness for further processing. Although only a minor change in the existing composition of the MoSi material was found, this change in composition required a significant change in the current repair process. The development of a repair process for the new Hi-T material on the Carl Zeiss MeRiT electron beam mask repair tool was presented beginning with the initial challenges of poor etch selectivity and lack of BSE signal difference between the new MoSi and underlying quartz. After identifying these initial challenges the general method for developing the new repair process was traced from adjustment of the electron dose distribution to the introduction rate of the precursor gas molecules. Finally, several examples of programmed defect repairs are presented along with their printability data as measure on an AIMS i. Perhaps the most important take away is that since even small changes in composition can require significant changes to current processes, there should be more joint work between mask blank providers and those responsible for processing in the mask house. ACKNOWLEDGEMENTS The authors would sincerely like to thank Azeddine Zerrade, Brett Rolfson, and Hrishi Shend of MP Mask Technology Center LLC for their extensive knowledge and input on the processing differences and benefits of the new Hi-T blank. Additionally the information and insight provided by Mark Jee of Hoya Corporation was also greatly appreciated. Proc. of SPIE Vol

11 REFERENCES [1] Garetto, A., Oster, J., Waiblinger, M., and Edinger, K., Challenging defect repair techniques for maximizing mask repair yield, Proc. SPIE 7488, 74880H (2009). [2] Garetto, A., Baur, C., Oster, J., Waiblinger, J., and Edinger, K., Advanced process capabilities for electron beam based photomask repair in a production environment, Proc. SPIE 7122, 71221K (2008). [3] Wong, A.K., [Resolution enhancement techniques in optical lithography], SPIE The International Society for Optical Engineering, Bellingham, Washington, (2001). [4] Faure, T., et al., Characterization of Binary and Attenuated Phase Shift Mask Blanks for 32nm Mask Fabrication, Proc. SPIE 7122, (2008). [5] Badger, K., et al., Impact of the OMOG Substrate on 32 nm Mask OPC Inspectability, Defect Sensitivity and Mask Design Rule Restrictions, Proc. SPIE 7122, 71220A (2008). [6] Kojima, Y., et al., Alternating Phase Shift Mask and Binary Mask for 45-nm Node and Beyond: The Impact on the Mask Error Control, Proc. SPIE 6607, 66070C (2007). [7] Ma, Z.M., McDonald, S. and Progler,C., Revisiting adoption of High Transmission PSM: pros, cons and path forward, Proc. SPIE 7520, (2009). [8] Conley, W. et al., High-transmission mask technology for 45 nm node imaging, Proc. SPIE 6154, 61541D (2006). [9] Ham, Y.M., Optical Properties and process impacts of high transmission EAPSM, Proc. SPIE 6154, (2006). [10] Nemoto, S. et al., Evaluating Films for High Transmission Attenuated Phase Shift Masks, Proc. SPIE 6283, (2006). [11] Nishrin, K., et al., High transmission attenuated PSM Benefit and Limitations through a validation study of 33%, 20% and 6% transmission masks, Proc. SPIE 4000, (2000). [12] Koepernik, C., et al., Extended Process Window Using Variable Transmission PSM Materials for 65 nm and 45 nm Node, Proc. SPIE 6283, 62831D (2006). [13] Socha, R.J., et al., Resolution enhancement with high-transmission attenuating phase-shift masks, Proc. SPIE 3748, (1999). [14] Becker, H.W., et al., Development of a new PSM film system for 157 nm extensible to high transmission 193 nm lithography, Proc. SPIE 5256, (2003). [15] Becker, H.W., et al., New solutions for inspection contrast tuning enhanced chemical durability and a new ultra high transmission PSM, Proc. SPIE 5567, (2004). [16] Cui, Z., Prewett, P., and Johnson, S., Transmission and side-lobe effect in attenuated phase shift masks, Microelectronic Engineering 27, (1995). [17] Singh, N., and Mukherjee-Roy, M., Effect of feature size, pitch, and resist sensitivity on side-lobe and ring formation for via hole patterning in attenuated phase-shift masks, Proc. SPIE 4691, (2002). [18] Buntin, J., et al., Process margin improvement using custom transmission EAPSM reticles, Proc. SPIE 6520, 65202D (2007). [19] Kindt, L., et al., Damage Mechanisms and Process Optimization for Photomasks with Sub-Resolution Assist Features, Proc. SPIE 7122, (2008). [20] Goldstein, J. et al., [Scanning Electron Microscopy and X-ray Microanalysis], 3 rd ed. New York: Plenum Press, 77 (2003). [21] Proc. of SPIE Vol

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

EUV Masks: Remaining challenges for HVM

EUV Masks: Remaining challenges for HVM EUV Masks: Remaining challenges for HVM Pawitter Mangat June 13, 2013 EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting infrastructure HVM Storage Mask Lifetime Mask in-fab

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

MODEL PicoMill TEM specimen preparation system. Achieve ultimate specimen quality free from amorphous and implanted layers

MODEL PicoMill TEM specimen preparation system. Achieve ultimate specimen quality free from amorphous and implanted layers MODEL 1080 PicoMill TEM specimen preparation system Combines an ultra-low energy, inert gas ion source, and a scanning electron column with multiple detectors to yield optimal TEM specimens. POST-FIB PROCESSING

More information

Effects of Thin Film Depositions on the EUV mask Flatness

Effects of Thin Film Depositions on the EUV mask Flatness Effects of Thin Film Depositions on the EUV mask Flatness Kyoung-Yoon Bang, Jinback Back, Hwan-Seok Seo, Dongwan Kim, DongHoon Chung, SeongSue Kim, Sang-Gyun Woo, and HanKu Cho Photomask Team Semiconductor

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools Eric Cotte a *, Uwe Dersch a, Christian Holfeld a, Uwe Mickan b, Holger Seitz c, Thomas Leutbecher c, and Günter Hess c

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Electron Beam Induced Processes and their Applicability to Mask Repair

Electron Beam Induced Processes and their Applicability to Mask Repair & Electron Beam Induced Processes and their Applicability to Mask Repair Hans W.P. Koops (1), Volker Boegli (1), Klaus Edinger (1), Johannes Bihr (2), Jens Greiser (2), (1) NaWoTec GmbH Rossdorf Germany

More information

EUV Defect Repair Strategy

EUV Defect Repair Strategy EUV Defect Repair Strategy J.H. Peters, S. Perlitz, U. Matejka, W. Harnisch, D. Hellweg, M. Weiss, M. Waiblinger, T. Bret, T. Hofmann, K. Edinger, K. Kornilov Carl Zeiss SMS / SMT 2011 International Symposium

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

EUV Mask Defect Reduction : Status and Challenges

EUV Mask Defect Reduction : Status and Challenges EUV Mask Defect Reduction : Status and Challenges Brian BC Cha*, Inyong Kang, Wonsuk Ahn, Sanghyun Kim, Hwanseok Seo, Suyoung Lee, Hanshin Lee, Sungmin Huh, Wonil Cho, Jihoon Na, Hoon Kim, *bccha@samsung.com

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

UHF-ECR Plasma Etching System for Gate Electrode Processing

UHF-ECR Plasma Etching System for Gate Electrode Processing Hitachi Review Vol. 51 (2002), No. 4 95 UHF-ECR Plasma Etching System for Gate Electrode Processing Shinji Kawamura Naoshi Itabashi Akitaka Makino Masamichi Sakaguchi OVERVIEW: As the integration scale

More information

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber

More information

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION Journal of Optoelectronics and Advanced Materials Vol. 7, No. 3, June 2005, p. 1191-1195 Invited lecture PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION J. Ihlemann * Laser-Laboratorium Göttingen e.v.,

More information

EUV Technology, Martinez, CA

EUV Technology, Martinez, CA Compact in-line EUV Laser Plasma Reflectometer for the measurement reflectivity and uniformity of EUV Lithography Mask Blank Multilayer Coatings Rupert C. C. Perera & James H. Underwood EUV Technology,

More information

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Mask Defect Auto Disposition based on Aerial Image in Mask Production Mask Defect Auto Disposition based on Aerial Image in Mask Production C.Y. Chen a, Laurent Tuo a, C. S. Yoo a, Linyong Pang b, Danping Peng b, Jin Sun b a E-Beam Operation Division, Taiwan Semiconductor

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/16/2018 http://vixra.org/abs/1807.0188 Abstract This paper outlines a design for an EUV transmission

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

DESIGN AND OPERATING PRINCIPLES OF III- V SOLAR CELLS

DESIGN AND OPERATING PRINCIPLES OF III- V SOLAR CELLS DESIGN AND OPERATING PRINCIPLES OF III- V SOLAR CELLS ANTHONY MELECO CAPSTONE ADVISER: DR. IAN SELLERS TABLE OF CONTENTS Abstract... 3 Introduction... 3 Procedure... 4 Photomask... 4 Modeling... 5 IV curve...

More information

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Use of Spin-On-Hard Mask Materials for nano scale patterning technology Use of Spin-On-Hard Mask Materials for nano scale patterning technology Wen-Hao Wu*, Edward Y. Chang, National Chiao Tung University, Department of Materials Science and Engineering 1001 Ta-Hsueh Rd.,

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

3D Nano-analysis Technology for Preparing and Observing Highly Integrated and Scaled-down Devices in QTAT

3D Nano-analysis Technology for Preparing and Observing Highly Integrated and Scaled-down Devices in QTAT Hitachi Review Vol. 54 (2005), No. 1 27 3D Nano-analysis Technology for Preparing and Observing Highly Integrated and Scaled-down Devices in QTAT Toshie Yaguchi Takeo Kamino Tsuyoshi Ohnishi Takahito Hashimoto

More information

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION Uwe Dietze Davide Dattilo SUSS MicroTec OUTLINE Background EUVL Mask Life Time Concerns Potential Root Causes for Ru Damage Solutions & Mitigation

More information

Technology Drivers for Plasma Prior to Wire Bonding

Technology Drivers for Plasma Prior to Wire Bonding Technology Drivers for Plasma Prior to Wire Bonding James D. Getty Nordson MARCH Concord, CA, USA info@nordsonmarch.com Technology Drivers for Plasma Prior to Wire Bonding Page 1 ABSTRACT Advanced packaging

More information

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm J. T. Horstmann John_Horstmann@ieee.org C. Horst Christian.Horst@udo.edu K. F. Goser goser@ieee.org Abstract The

More information

High-accuracy laser mask repair technology using ps UV solid state laser

High-accuracy laser mask repair technology using ps UV solid state laser RIKEN Review No. 43 (January, 2002): Focused on 2nd International Symposium on Laser Precision Microfabrication (LPM2001) High-accuracy laser mask repair technology using ps UV solid state laser Yukio

More information

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Accelerating the next technology revolution Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask Il-Yong Jang 1, Arun John 1, Frank Goodwin

More information

Productivity versus Profitability in Vacuum Web Coating

Productivity versus Profitability in Vacuum Web Coating Productivity versus Profitability in Vacuum Web Coating Liz Josephson, Applied Films Corp., Longmont, USA Dirk Hoffmann, Applied Films GmbH & Co KG, Alzenau, Germany Gerard Loebig, Applied Films GmbH &

More information

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc. Jinho Ahn Device manufacturer and material supplier -Samsung : DRAM, Logic, High-end Foundry -SK hynix: DRAM -Kumho Petrochemical: Photoresist Academia and Research Institute -Hanyang Univ.: strongest

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production Patterning Capability and Limitations by Pattern Collapse in 4nm and below Node Photo Mask Production Guen-Ho Hwang, Manish Patil, Soon-Kyu Seo, Chu-Bong Yu, Ik-Boum Hur, Dong Hyun Kim, Cheol Shin, Sung-Mo

More information

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET DATASHEET WorkBench Productivity environment for OPC development and optimization Overview WorkBench (PWB) is Synopsys powerful cockpit tool for development and optimization of -based mask synthesis solutions.

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

Multilayer Silver / Dielectric Thin-Film Coated Hollow Waveguides for Sensor and Laser Power Delivery Applications

Multilayer Silver / Dielectric Thin-Film Coated Hollow Waveguides for Sensor and Laser Power Delivery Applications Multilayer Silver / Dielectric Thin-Film Coated Hollow Waveguides for Sensor and Laser Power Delivery Applications Theory, Design, and Fabrication Carlos M. Bledt a, James A. Harrington a, and Jason M.

More information

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV optics lifetime Radiation damage, contamination, and oxidation EUV optics lifetime Radiation damage, contamination, and oxidation M. van Kampen ASML Research 10-11-2016 Preamble Slide 2 ASML builds lithography scanners High-resolution photocopiers Copies mask pattern

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS Roxann L. Engelstad, Venkata Siva R. Battula, Pradeep Vukkadala, Andrew R. Mikkelson, Madhura Nataraju, and Kevin T. Turner Computational

More information

Microstructural Characterization of Materials

Microstructural Characterization of Materials Microstructural Characterization of Materials 2nd Edition DAVID BRANDON AND WAYNE D. KAPLAN Technion, Israel Institute of Technology, Israel John Wiley & Sons, Ltd Contents Preface to the Second Edition

More information

Using Atomic Force Microscopy (AFM) for Engineering Low Scatter Thin Film Optics

Using Atomic Force Microscopy (AFM) for Engineering Low Scatter Thin Film Optics The World Leader In Scanning Probe Microscopy Using Atomic Force Microscopy (AFM) for Engineering Low Scatter Thin Film Optics By A. Duparré, N. Kaiser, M.G. Heaton Figure 2: AFM image of the surface structures

More information

Impact of Litho on Design

Impact of Litho on Design Impact of Litho on Design Srini Raghvendra Senior Director DFM Solutions Synopsys Inc. Acknowledgements Dan Page Mike Rieger Paul vanadrichem Jeff Mayhew 2006 Synopsys, Inc. (2) Subwavelength Litho Requires

More information

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet Introduction Guillermo Acosta, Dr. David Allred, Dr, Steven Turley Brigham Young University

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/9/2018 Abstract This paper outlines a design for an EUV transmission lens comprising blazed, phase-

More information

A Novel Extrusion Microns Embossing Method of Polymer Film

A Novel Extrusion Microns Embossing Method of Polymer Film Modern Mechanical Engineering, 2012, 2, 35-40 http://dx.doi.org/10.4236/mme.2012.22005 Published Online May 2012 (http://www.scirp.org/journal/mme) A Novel Extrusion Microns Embossing Method of Polymer

More information

Layer Thickness Analysis of Thin Metal Coatings with. Bruker Nano Analytics, Berlin, Germany Webinar, June 8 th 2017

Layer Thickness Analysis of Thin Metal Coatings with. Bruker Nano Analytics, Berlin, Germany Webinar, June 8 th 2017 Layer Thickness Analysis of Thin Metal Coatings with micro-xrf on SEM Bruker Nano Analytics, Berlin, Germany Webinar, June 8 th 2017 09.06.2017 Presenters Stephan Boehm Product Manager Micro-XRF/SEM Bruker

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Optimization of Carbon Nanotube Field Emission Arrays

Optimization of Carbon Nanotube Field Emission Arrays Excerpt from the Proceedings of the COMSOL Conference 2009 Boston Optimization of Carbon Nanotube Field Emission Arrays Benjamin L. Crossley *1, Mauricio Kossler 1, LaVern A. Starman 1, Ronald A. Coutu,

More information

Understanding. Brewer Science

Understanding. Brewer Science Understanding ARC Products General ARC Presentation: Slide #1 Overview Anti-reflective coating introduction Types of anti-reflective coating Advantages to anti-reflective coatings Advantages to bottom

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery

Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery Carlos M. Bledt * a, Daniel V. Kopp a, and James A. Harrington a

More information

X-Ray Fluorescence Measurements of Molten Aluminum Elemental Composition

X-Ray Fluorescence Measurements of Molten Aluminum Elemental Composition B.2 Recycling Technologies X-Ray Fluorescence Measurements of Molten Aluminum Elemental Composition Leigh C. Duren (MS Candidate Industrial Intern) Advisors: D. Apelian & D. Backman Sponsor: wte Corporation

More information

Full Nanomechanical Characterization of Ultra-Thin Films

Full Nanomechanical Characterization of Ultra-Thin Films APPLICATION NOTE By: Jeffrey Schirer and Julia Nowak, Ph.D. Hysitron, Inc. Eiji Kusano and Mune-aki Sakamoto Department of Chemistry, Kanazawa Institute of Technology, Japan Full Nanomechanical Characterization

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Association of Super-Advanced Electronics Technologies (ASET) EUV Process Technology Research Laboratory Takeo Hashimoto and Iao Nishiyama

More information

Flip Chip - Integrated In A Standard SMT Process

Flip Chip - Integrated In A Standard SMT Process Flip Chip - Integrated In A Standard SMT Process By Wilhelm Prinz von Hessen, Universal Instruments Corporation, Binghamton, NY This paper reviews the implementation of a flip chip product in a typical

More information

MILESTONE REPORT # 1

MILESTONE REPORT # 1 3533 Old Conejo Road, Suite 110, Newbury Park, CA 91320 Tel/Fax: 1 805 499 6360 url: www.interphases.com. MILESTONE REPORT # 1 Project Title: Cost-effective Pilot Line for Flexible PV Modules Contract

More information

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS International Journal of Nanotechnology and Application (IJNA) ISSN(P): 2277-4777; ISSN(E): 2278-9391 Vol. 6, Issue 5, Dec 2016, 1-6 TJPRC Pvt. Ltd. AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY

More information

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators Benjamen M. Rathsack 1, Cyrus E. Tabery 1, Cece Philbin 2 and C. Grant Willson

More information

In-situ laser-induced contamination monitoring using long-distance microscopy

In-situ laser-induced contamination monitoring using long-distance microscopy In-situ laser-induced contamination monitoring using long-distance microscopy Paul Wagner a, Helmut Schröder* a, Wolfgang Riede a a German Aerospace Center (DLR), Institute of Technical Physics, Pfaffenwaldring

More information

Electron Microscopy Sciences Industry Road. P.O. Box 550. Hatfield, PA Introduction. DuraSiNTM

Electron Microscopy Sciences Industry Road. P.O. Box 550. Hatfield, PA Introduction. DuraSiNTM DuraSiNTM Electron Microscopy Sciences Introduction DuraSiN TM Film and Mesh products have revolutionized the way samples are prepared for and analyzed in the transmission electron microscope. DuraSiN

More information

NIR High-Efficiency Subwavelength DiEractive Structures In Semiconductors.

NIR High-Efficiency Subwavelength DiEractive Structures In Semiconductors. c NIR High-Efficiency Subwavelength DiEractive Structures In Semiconductors. R.E. Smith, M.E. Warren, J.R. Wendt and G.A. Vawter Sandia National Laboratories Albuquerque, NM 87185-0603 Abstract: We have

More information

Platypus Gold Coated Substrates. Bringing Science to the Surface

Platypus Gold Coated Substrates. Bringing Science to the Surface Platypus Gold Coated Substrates Bringing Science to the Surface Overview Gold Coated Substrates - Gold Coating Introduction - Glossary of Terms - Gold Coating Methods - Critical Features Platypus Gold

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

Specimen configuration

Specimen configuration APPLICATIONNOTE Model 1040 NanoMill TEM specimen preparation system Specimen configuration Preparing focused ion beam (FIB) milled specimens for submission to Fischione Instruments. The Model 1040 NanoMill

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

The Benefits of a Vertically Integrated Optical Systems Supplier

The Benefits of a Vertically Integrated Optical Systems Supplier The Benefits of a Vertically Integrated Optical Systems Supplier Daniel Staloff Corning Advanced Optics, 60 O Connor Rd, Fairport, NY 14450 StaloffDM@Corning.com ABSTRACT A company that specifies optical

More information

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS Since Ag 2 Ga nanoneedles can be directly grown on (or even in place of) the tips on AFM cantilevers using the pulling technique

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION In the format provided by the authors and unedited. ARTICLE NUMBER: 16178 DOI: 10.1038/NENERGY.2016.178 Enhanced Stability and Efficiency in Hole-Transport Layer Free CsSnI3 Perovskite Photovoltaics Supplementary

More information

GaAs Integrated Circuit Process Characterization and NonDestructive Process Monitoring by Atomic Force Microscopy

GaAs Integrated Circuit Process Characterization and NonDestructive Process Monitoring by Atomic Force Microscopy 5fiAm4 -//wrc GaAs Integrated Circuit Process Characterization and NonDestructive Process Monitoring by Atomic Force Microscopy A G Baca, A J Howard, R J Shul, J C Zolper, andd J Rieger Sandia National

More information

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST H. Elsner and H.-G. Meyer Institute for Physical High Technology (IPHT), Dept. of Cryoelectronics,

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Jhon F. Londoño, Kurt E. Williams, Adrian J. Devasahayam Veeco Instruments Inc. Plainview, New York U.S.A Figure

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Application Note #124 VITA: Quantitative Nanoscale Characterization and Unambiguous Material Identification for Polymers

Application Note #124 VITA: Quantitative Nanoscale Characterization and Unambiguous Material Identification for Polymers Local thermal analysis identifies polymer AFM image of polymer blend Application Note #124 VITA: Quantitative Nanoscale Characterization and Unambiguous Material Identification for Polymers VITA module

More information

Metrology at the Nanoscale What are the Grand Challenges?

Metrology at the Nanoscale What are the Grand Challenges? Metrology at the Nanoscale What are the Grand Challenges? Research Challenges for Nanomanufacturing Systems February 11-12, 2008 National Science Foundation Arlington, VA Kevin W. Lyons Manufacturing Engineering

More information

Attenuated Total Reflectance (ATR)

Attenuated Total Reflectance (ATR) Technical Note FT-IR Spectroscopy Attenuated Total Reflectance (ATR) Key Features: Faster sampling Excellent for thick, continuous solids and liquids Saves sample preparation High quality spectral databases

More information

In-situ Monitoring of Atomic Layer Deposition Processes

In-situ Monitoring of Atomic Layer Deposition Processes Faculty of Electrical and Computer Engineering - Institute of Semiconductors and Microsystems NanoZEIT seminar @ SEMICON 2011 Deposition Processes M. Knaut*, M. Junige, M. Geidel, M. Albert, and J. W.

More information

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM Integrated Ferroelectrics, 84: 169 177, 2006 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580601085750 High Density Plasma Etching of IrRu Thin Films

More information

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells The MIT Faculty has made this article openly available. Please share how this access benefits

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Micro-Nano Fabrication Research

Micro-Nano Fabrication Research Micro-Nano Fabrication Research Technical Education Quality Improvement Programme 22-23 December 2014 Dr. Rakesh G. Mote Assistant Professor Department of Mechanical Engineering IIT Bombay rakesh.mote@iitb.ac.in;

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information