Impact of Litho on Design

Size: px
Start display at page:

Download "Impact of Litho on Design"

Transcription

1 Impact of Litho on Design Srini Raghvendra Senior Director DFM Solutions Synopsys Inc.

2 Acknowledgements Dan Page Mike Rieger Paul vanadrichem Jeff Mayhew 2006 Synopsys, Inc. (2)

3 Subwavelength Litho Requires Designer Involvement 10 Above Wavelength SubWavelength 3.0µm 2.0µm nm 1.0µm 0.6µm 365nm 0.35µm 0.25µm 248nm 193nm 193nm immersion 157nm 0.1 Silicon Feature Size Lithography Wavelength 0.18µm 0.13µm 90nm 65nm 45nm 32nm Synopsys, Inc. (3)

4 5 Reasons Litho Matters to the Designer 1. CMP Metal fill 2. OPC 3. PSM 4. Assist Features 5. Off Axis Illumination 2006 Synopsys, Inc. (4)

5 CMP Impacts Litho Imaging related!! Mask No OPC Too high!! Ideal stack height Too low!! Large solid area TILES Isolated Line Dense Metal 2006 Synopsys, Inc. (5)

6 OPC 2006 Synopsys, Inc. (6)

7 Corner-Intensive Geometries such as Notches are Hard to Correct and Manufacture Bad: Too many corners at minimum width Good: Less corners and wider wire Better: Minimum number of corners Best : Minimum number of corners and non-minimum width wires 2006 Synopsys, Inc. (7)

8 Router Should Prevent Litho Unfriendly Patterns Minimum jog length Minimum edge length 2006 Synopsys, Inc. (8)

9 Assist Features 2006 Synopsys, Inc. (9)

10 CD versus pitch problem Line width* 65nm line on reticle Pitch nm on wafer Forbidden pitch pitch (AU) Poly line width variation has direct impact on drain current *litho term: Critical Dimension (CD) 2006 Synopsys, Inc. (10)

11 How to compensate CD vs pitch Assist features ROPC MOPC Sub 130nm: Assists + MOPC 2006 Synopsys, Inc. (11)

12 Assist features may give you another unwanted pitch X? OK No room to place an effective assist feature OK Optimum assist feature locations too close together OK 2006 Synopsys, Inc. (12)

13 PSM 2006 Synopsys, Inc. (13)

14 Attenuated-Phase Shifting Mask Technology Also called half-tone, Att-PSM, weak-psm, leaky-chrome, etc. Uses weak phasemodulation at the mask level to further the resolution capabilities of optical lithography Benefits: Simpler design-flow issues than Alt-PSM Lower cost than Alt-PSM Chrome Att-PSM Mask 180 Mask Light intensity of binary mask EM Resulting field of light Att. intensity PSM of Att. PSM Difficulties: Not as beneficial as Alt-PSM Spurious sidelobes demand advanced verification 2006 Synopsys, Inc. (14)

15 E-PSM Used Often with Contacts Contact mask pattern Contacts printed on wafer Exposure intensity distribution on wafer Unwanted Artifacts printed on wafer by constructive interference of sidelobes 1 micron Some arrangements of via placements can cause unwanted features to print: forbidden 2D pitches 2006 Synopsys, Inc. (15)

16 Phase Shifting: Enabling Technology Uses phase-modulation at the mask level to further the resolution capabilities of optical lithography Mask 180 o phase-shifter Benefits: Smaller feature sizes Improved yield (tighter process control) Resolution boost!! Light intensity of PSM Light intensity of binary mask EM field of Alt. PSM 0.11µm Printed using a 0.25 µm nominal process 2006 Synopsys, Inc. (16)

17 What is phase coloring poly active Assigning 0 and 180 deg (π) shifters gate 0-shifter π-shifter 2006 Synopsys, Inc. (17)

18 APSM Design layout compliance π 0 π 0 π 0 π? 0 Conflict Layouts for PSM must avoid cyclic conflicts where phases cannot be mapped. PSM feature-dependent minimum space rules must be followed. 45 deg: makes shifter placements too complicated 2006 Synopsys, Inc. (18)

19 Off Axis Illumination Techniques 2006 Synopsys, Inc. (19)

20 Dual Dipole σ in α σ out dipoley Extreme form of Off Axis Dual mask approach Decomposition of data required Comparable with Alt-PSM Logical solution for memory makers Used single dipole Often combines with dipole No 45 deg allowed!! dipolex 2006 Synopsys, Inc. (20)

21 Dual Dipole decomposition dipoley Design dipolex Protection boxes Result on the wafer dipolex exposure dipoley exposure 2006 Synopsys, Inc. (21)

22 Consequences of Double Dipole Work in progress, perhaps rules to make decomposition easier. 45 degree or non-manhattan in general will be very problematic Synopsys, Inc. (22)

23 How Can the Designer Help? 2006 Synopsys, Inc. (23)

24 Litho Compliance Checking Will be Critical at sub-65nm 2006 Synopsys, Inc. (24)

25 Model-based design verification can identify problem configurations Sidelobes CD on 45 line too small Bad space/width combinations 2006 Synopsys, Inc. (25)

26 Design Driven RET Could Improve Manufacturability DRC compliant with margin 2 improve CD uniformity Original DRC-clean design layout minimum clearance contact 1 Widen pad Shift lines improve contact overlay margin Reduce OPC complexity improve clearances 2006 Synopsys, Inc. (26)

27 Summary 2006 Synopsys, Inc. (27)

28 Design Layout Impacts Litho Litho Techniques Impose Restrictions CMP, OPC, PSM, AF, Off Axis Illumination Designers should be aware, and can even help Litho Compliance Checking Will become an important part of sign-off Design Driven RET could be an important aid to manufacturability Synopsys, Inc. (28)

29 Thank You! 2006 Synopsys, Inc. (29)

If it moves, chop it in half, then simulate it

If it moves, chop it in half, then simulate it Interactions of Double Patterning Technology with wafer processing, OPC and design flows Kevin Lucas, Chris Cork, Alex Miloslavsky, Gerry Luk-Pat, Levi Barnes, John Hapli, John Lewellen, Greg Rollins Synopsys

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Mask Defect Auto Disposition based on Aerial Image in Mask Production Mask Defect Auto Disposition based on Aerial Image in Mask Production C.Y. Chen a, Laurent Tuo a, C. S. Yoo a, Linyong Pang b, Danping Peng b, Jin Sun b a E-Beam Operation Division, Taiwan Semiconductor

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity

More information

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection CTO, Maydan Technology Center Applied Materials, Inc. Mike_Smayling@amat.com Topics Introduction to Test Chips Test Structures Basic

More information

Optical and Physical Characteristics of EUV Phase Shift Masks

Optical and Physical Characteristics of EUV Phase Shift Masks Optical and Physical Characteristics of EUV Phase Shift Masks Tae Geun Kim, Byung Hun Kim, Chang Young Jeong, Chung Yong Kim, SangsulLee, and Jinho Ahn Department of Materials Science and Engineering,,

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

UHF-ECR Plasma Etching System for Gate Electrode Processing

UHF-ECR Plasma Etching System for Gate Electrode Processing Hitachi Review Vol. 51 (2002), No. 4 95 UHF-ECR Plasma Etching System for Gate Electrode Processing Shinji Kawamura Naoshi Itabashi Akitaka Makino Masamichi Sakaguchi OVERVIEW: As the integration scale

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Construction Analysis Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Report Number: SCA 9705-539 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea EUVL Activities in Korea Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea Who are interested in EUVL? Device manufacturer and material supplier -Samsung : NAND Flash,

More information

Motorola MC68360EM25VC Communication Controller

Motorola MC68360EM25VC Communication Controller Construction Analysis EM25VC Communication Controller Report Number: SCA 9711-562 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET DATASHEET WorkBench Productivity environment for OPC development and optimization Overview WorkBench (PWB) is Synopsys powerful cockpit tool for development and optimization of -based mask synthesis solutions.

More information

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc. Jinho Ahn Device manufacturer and material supplier -Samsung : DRAM, Logic, High-end Foundry -SK hynix: DRAM -Kumho Petrochemical: Photoresist Academia and Research Institute -Hanyang Univ.: strongest

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm J. T. Horstmann John_Horstmann@ieee.org C. Horst Christian.Horst@udo.edu K. F. Goser goser@ieee.org Abstract The

More information

DEC SA-110S StrongARM 32-Bit Microprocessor

DEC SA-110S StrongARM 32-Bit Microprocessor Construction Analysis DEC SA-110S StrongARM 32-Bit Microprocessor Report Number: SCA 9704-535 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

SGS-Thomson M28C K EEPROM

SGS-Thomson M28C K EEPROM Construction Analysis SGS-Thomson M28C64-121 64K EEPROM Report Number: SCA 9710-559 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

W Metallization in a 3-D Memory

W Metallization in a 3-D Memory W Metallization in a 3-D Memory December 8, 2005 Michael Konevecki, Usha Raghuram, Victoria Eckert, Vance Dunton, Brad Herner & Steve Radigan 3-D Memory Cells Matrix memory cells consist of a memory element

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Design Methodology for IC Manufacturability Based on Regular Logic-Bricks

Design Methodology for IC Manufacturability Based on Regular Logic-Bricks . Design Methodology for IC Manufacturability Based on Regular Logic-Bricks V. Kheterpal, V. Rovner, T.G. Hersan, D. Motiani, Y. Takegawa, A.J. Strojwas, L. Pileggi {vkheterp, vrovner, tgh, dmotiani, yoichi,

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Summary of Selected EMCR650/731 Projects for 2004-2005 Jeremiah Hebding Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester,

More information

NKK NR4645LQF Bit RISC Microprocessor

NKK NR4645LQF Bit RISC Microprocessor Construction Analysis NKK NR4645LQF-133 64-Bit RISC Microprocessor Report Number: SCA 9707-547 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9870

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Metal Oxide EUV Photoresists for N7 Relevant Patterns Metal Oxide EUV Photoresists for N7 Relevant Patterns Stephen T. Meyers, Andrew Grenville 2016 International Workshop on EUV Lithography Resists Designed for EUV Lithography Integration Stochastic Variability

More information

Industry Roadmap and Technology Strategy

Industry Roadmap and Technology Strategy Industry Roadmap and Technology Strategy Martin van den Brink President and Chief Technology Officer Overview Slide 2 Industry Innovation Moore s law innovation continues, driven by multiple engines of

More information

Oki M A-60J 16Mbit DRAM (EDO)

Oki M A-60J 16Mbit DRAM (EDO) Construction Analysis Oki M5117805A-60J 16Mbit DRAM (EDO) Report Number: SCA 9707-545 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM

Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM Construction Analysis Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM Report Number: SCA 9412-394 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion EE 330 Lecture 8 IC Fabrication Technology Part II?? - Masking - Photolithography - Deposition - Etching - Diffusion Review from Last Time Technology Files Provide Information About Process Process Flow

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

2018 Semiconductor Packaging News

2018 Semiconductor Packaging News 2018 Semiconductor Packaging News Is Fully Automatic Bond Testing Possible? Bob Sykes, Cor van Mil, Tom Haley, Clyde Chen, Herbert Stuermann, Volker Loibl XYZTEC bv 5981XC Panningen, Netherlands. sales@xyztec.com

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

SOIMUMPs Design Handbook

SOIMUMPs Design Handbook SOIMUMPs Design Handbook a MUMPs process C. J. Han, Allen Cowen, Greg Hames and Busbee Hardy MEMScAP Revision 3.0 Copyright 2002 by MEMScAP. All rights reserved. Permission to use and copy for internal,

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

Microfabrication of Integrated Circuits

Microfabrication of Integrated Circuits Microfabrication of Integrated Circuits OUTLINE History Basic Processes Implant; Oxidation; Photolithography; Masks Layout and Process Flow Device Cross Section Evolution Lecture 38, 12/05/05 Reading This

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

Complexity of IC Metallization. Early 21 st Century IC Technology

Complexity of IC Metallization. Early 21 st Century IC Technology EECS 42 Introduction to Digital Electronics Lecture # 25 Microfabrication Handout of This Lecture. Today: how are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other

More information

NEC 79VR5000 RISC Microprocessor

NEC 79VR5000 RISC Microprocessor Construction Analysis NEC 79VR5000 RISC Microprocessor Report Number: SCA 9711-567 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

SGS-Thomson M17C1001 1Mb UVEPROM

SGS-Thomson M17C1001 1Mb UVEPROM Construction Analysis SGS-Thomson M17C1001 1Mb UVEPROM Report Number: SCA 9612-518 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

Mosel Vitelic MS62256CLL-70PC 256Kbit SRAM

Mosel Vitelic MS62256CLL-70PC 256Kbit SRAM Construction Analysis Mosel Vitelic MS62256CLL-70PC 256Kbit SRAM Report Number: SCA 9703-499 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Modeling for DFM / DFY

Modeling for DFM / DFY Modeling for DFM / DFY A Foundry Perspective Walter Ng Senior Director, Platform Alliances 5/24/07 2006 Chartered Semiconductor Manufacturing Ltd. All rights reserved. No part or parts hereof may be reproduced,

More information

ASML - A strong company on a growth trajectory

ASML - A strong company on a growth trajectory ASML - A strong company on a growth trajectory Franki D Hoore Director European Investor Relations Cheuvreux European IT and Technology Conference Paris, 8 March, 2007 Safe Harbor Safe Harbor Statement

More information

Lessons Learned from SEMATECH s Nanoimprint Program

Lessons Learned from SEMATECH s Nanoimprint Program Accelerating the next technology revolution Lessons Learned from SEMATECH s Nanoimprint Program Matt Malloy Lloyd C. Litt Mac Mellish 10/19/11 Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo

More information

Xilinx XC4036EX FPGA

Xilinx XC4036EX FPGA Construction Analysis Xilinx XC4036EX FPGA Report Number: SCA 9706-544 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Fabrication and Layout

Fabrication and Layout Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1,

More information

Flip Chip - Integrated In A Standard SMT Process

Flip Chip - Integrated In A Standard SMT Process Flip Chip - Integrated In A Standard SMT Process By Wilhelm Prinz von Hessen, Universal Instruments Corporation, Binghamton, NY This paper reviews the implementation of a flip chip product in a typical

More information

Imprint Lithography: Getting to the Next Level

Imprint Lithography: Getting to the Next Level Imprint Lithography: Getting to the Next Level May 26 SEMECH Litho Forum James E. Ellenson; ; Ken Kramer; im S. Hostetler; Laura King; William M. ong Hewlett-Packard Company 24 Hewlett-Packard Development

More information

UMC UM F-7 2M-Bit SRAM

UMC UM F-7 2M-Bit SRAM Construction Analysis UMC UM 613264F-7 2M-Bit SRAM Report Number: SCA 9609-511 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

SGS-Thomson L4990 Controller

SGS-Thomson L4990 Controller Construction Analysis SGS-Thomson L4990 Controller Report Number: SCA 9710-560 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion Debbie Gustafson ENERGETIQ 7/16/2009 Agenda Background on the EQ-10 Electrodeless Z-Pinch TM EUV source Detailed characterization of the

More information

Endpoint Detection of Low Open Area Contact Nitride Etches by Use of Optical Emission Spectroscopy in an APC Compatible Multi-Sensor Platform

Endpoint Detection of Low Open Area Contact Nitride Etches by Use of Optical Emission Spectroscopy in an APC Compatible Multi-Sensor Platform Endpoint Detection of Low Open Area Contact Nitride Etches by Use of Optical Emission Spectroscopy in an APC Compatible Multi-Sensor Platform AEC/APC Asia 2005 Bernard KAPLAN, Eric BLUEM (HORIBA Jobin

More information

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity Alisa Blauberg, Vikas Sachan, John Lemon, Garo Derderian GLOBALFOUNDRIES

More information

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing.

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 0, 00 1 CMOS Process 1 A Modern CMOS Process gate-oxide TiSi AlCu Tungsten

More information

Optical Inspection for the Field of Thin-Film Solar

Optical Inspection for the Field of Thin-Film Solar Top quality for the photovoltaic industry Optical Inspection for the Field of Thin-Film Solar Thin-film solar cells are playing an increasingly important role in the photovoltaic industry. Even though

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) 450mm Metrology and Inspection: The Current State and the Road Ahead Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) Outline Program Update Demonstration Testing Method (DTM) Equipment

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Maximum MAX662 12V DC-DC Converter

Maximum MAX662 12V DC-DC Converter Construction Analysis Maximum MAX662 12V DC-DC Converter Report Number: SCA 9512-445 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm EE 527 MICROFABRICATION Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT C (sub) E B A E = 40 µm x 40 µm 1 EE-527 M4 MASK SET: MOS C-V TEST CAPACITORS W = 10 µm L = 10 µm

More information

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process. CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd ConFab Bridging the Fabless-Foundry Gap BJ Woo Sr. Director Business Development TSMC 2 Outline Fabless Requirements Technology Scaling Challenges IP Quality Foundry Integrated Manufacturing Value Summary

More information

In-situ Metrology for Deep Ultraviolet Lithography Process Control

In-situ Metrology for Deep Ultraviolet Lithography Process Control In-situ Metrology for Deep Ultraviolet Lithography Process Control Nickhil Jakatdar 1, Xinhui Niu, John Musacchio, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of

More information

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

conductor - gate insulator source gate n substrate conductor - gate insulator gate substrate n open switch closed switch however: closed however:

conductor - gate insulator source gate n substrate conductor - gate insulator gate substrate n open switch closed switch however: closed however: MOS Transistors Readings: Chapter 1 N-type drain conductor - gate insulator source gate drain source n p n substrate P-type drain conductor - gate insulator source drain gate source p p substrate n 42

More information

HYPRES. Hypres MCM Process Design Rules 04/12/2016

HYPRES. Hypres MCM Process Design Rules 04/12/2016 HYPRES Hypres MCM Process Design Rules 04/12/2016 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES fabrication to: Daniel T. Yohannes Tel. (914) 592-1190

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

DFM Challenges and Practical Solutions in 65nm and 45nm

DFM Challenges and Practical Solutions in 65nm and 45nm DFM Challenges and Practical Solutions in 65nm and 45nm NS Nagaraj, Michael Smayling, Ban P. Wong, INTRODUCTION UCSD and Blaze DFM, Inc. abk@ucsd.edu http://vlsicad.ucsd.edu/ Agenda 0930-1000 Introduction

More information

Status Report: Optimization and Layout Design of AGIPD Sensor

Status Report: Optimization and Layout Design of AGIPD Sensor Status Report: Optimization and Layout Design of AGIPD Sensor Joern Schwandt, Jiaguo Zhang and Robert Klanner Institute for Experimental Physics, Hamburg University Jiaguo Zhang, Hamburg University 10th

More information

Lattice 3256A-90LM PLD

Lattice 3256A-90LM PLD Construction Analysis PLD Report Number: SCA 9705-538 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax: 602-515-9781 e-mail: ice@ice-corp.com

More information

Design for Testability Guidelines. Conventional and EC-1 In-Circuit Test Fixtures

Design for Testability Guidelines. Conventional and EC-1 In-Circuit Test Fixtures Design for Testability Guidelines Conventional and EC-1 In-Circuit Test Fixtures Revision F August 20, 2001 1. All test targets are preferred to be on one side of the PCB. ECT is quite capable of equipping

More information

SEMI P10 for Automated Mask Orders

SEMI P10 for Automated Mask Orders SEMI P10 for Automated Mask Orders Prepared for International Sematech Mask Automation Workshop February 9, 2005 Wes Erck SEMI P10 Task Force Leader SEMI NA Microlithography Committee Co-Chair SEMI P10

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

ATHENA - Module Construction

ATHENA - Module Construction ATHENA - Module Construction 1998-2000 P. Riedler*, J. Rochet ATHENA Group, University of Zürich * now at CERN ATHENA Silicon Vertex Detector Cylindrical symmetry Outer diameter: 139.7 mm Inner diameter:

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Nano Wear Testing Polymer Coated Glass

Nano Wear Testing Polymer Coated Glass Nano Wear Testing Polymer Coated Glass Prepared by Jorge Ramirez 6 Morgan, Ste56, Irvine CA 9268 P: 949.46.9292 F: 949.46.9232 nanovea.com Today's standard for tomorrow's materials. 2 NANOVEA INTRO New

More information

Physical Level Design using Synopsys

Physical Level Design using Synopsys 1 Physical Level Design using Synopsys Jamie Bernard, Student MS CpE George Mason University Abstract Very-Large-Scale-Integration (VLSI) of digital systems is the foundation of electronic applications

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information