Scaling, Power and the Future of CMOS. Mark Horowitz, Elad Alon, Dinesh Patil, Stanford Samuel Naffziger, Rajesh Kumar, Intel Kerry Bernstein, IBM

Size: px
Start display at page:

Download "Scaling, Power and the Future of CMOS. Mark Horowitz, Elad Alon, Dinesh Patil, Stanford Samuel Naffziger, Rajesh Kumar, Intel Kerry Bernstein, IBM"

Transcription

1 Scaling, Power and the Future of CMOS Mark Horowitz, Elad Alon, Dinesh Patil, Stanford Samuel Naffziger, Rajesh Kumar, Intel Kerry Bernstein, IBM

2 A Long Time Ago In a building far away A man made a prediction On surprisingly little data That has defined an industry Slide 2

3 Moore s Law Slide 3

4 CMOS Computer Performance Slide 4 intel 386 intel 486 intel pentium intel pentium 2 intel pentium 3 intel pentium 4 intel itanium Alpha Alpha Alpha Sparc SuperSparc Sparc64 Mips HP PA Power PC AMD K6 AMD K7 AMD x

5 Moore s Original Issues Design cost Power dissipation What to do with all the functionality possible Slide 5 ftp://download.intel.com/research/silicon/moorespaper.pdf

6 Outline How designers will deal with poor power scaling Origins of the power problem An optimization perspective Low power circuits and architectures Cost of variability Future scenarios What device characteristics matter (to me) Slide 6

7 The 80 s Power Problem Until mid 80s technology was mixed nmos, bipolar, some CMOS Supply voltage was not scaling / power was rising nmos, bipolar gates dissipate static power From Roger Schmidt, IBM Corp Slide 7

8 Solution: Move to CMOS And then scale Vdd 10 1 Feat Size (um) Vdd 0.1 Jan-85 Jan-88 Jan-91 Jan-94 Jan-97 Jan-00 Jan-03 Slide 8

9 Scaling MOS Devices JSSC Oct 74, pg 256 In this ideal scaling V scales to αv, L scales to αl So C scales to αc, i scales to αi (i/µ is stable) Delay = CV/I scales as α Energy = CV 2 scales as α 3 Slide 9

10 Processor Power Continued to grow, even when Vdd was scaled Slide 10

11 Why Power Increased Growing die size, fast frequency scaling Clock Frequency (MHz) Slide 11

12 Good News Die growth & super frequency scaling have stopped 100 Cycle in FO Slide 12

13 Processor Power They were high power too Slide 13

14 Bad News Voltage scaling has stopped as well kt/q does not scale Vth scaling has power consequences If Vdd does not scale Energy scales slowly Slide 14 Ed Nowak, IBM

15 Energy Performance Space Every design is a point on a 2-D plane Energy Performance Slide 15

16 Energy Performance Space Every design is a point on a 2-D plane Energy Performance Slide 16

17 Energy Performance Space Every design is a point on a 2-D plane Energy Performance Slide 17

18 Trade-offs for an Adder 10 5 Dom. BK Stat. KS 10 4 Stat.Carr.Chain Stat. BK Stat.Carr.Sel Dom. LF Dom. KS 10 3 Stat. LF Stat Slide

19 Key Observation: Define the Energy/Delay sensitivity of parameter For example Vdd: Sens V ( ) dd E V = dd D V = dd V V dd dd * At optimal point, all sensitivities should be the same Must equal the slope of the Pareto optimal curve Slide 19

20 What This Means Vdd and Vth are not directly set by scaling Instead set by slope of Pareto optimal curve Leakage rose to lower total system power! 10 5 [V dd =1.3 Vt N =0.22] 10 4 [V dd =1.2 Vt N =0.26] 10 3 [V dd =0.68, Vt N =0.31] [V dd =1 Vt N =0.30] Slide 20

21 Low Power Design Techniques Three main classes of methods to reduce energy: Cheating Reducing the performance of the design Reducing waste Stop using energy for stuff that does not produce results Stop waiting for stuff that you don t need (parallelism) Problem reformulation Reduce work (less energy and less delay) Slide 21

22 Cheating Many low-power papers talk only about energy Don t consider performance Reducing performance can always reduce energy But there are many ways to reduce performance Good technique must lower the optimal curve Sensitivity of technique Must be better than current curve This depends on location on the curve Slide 22

23 Reducing Energy Waste Clock gating If a section is idle, remove clock Removes clock power Prevents any internal node from transitioning Create system power states Turn on subsystems only when they are needed Can have different off states Power vs. wakeup time Disk (do you stop it from spinning?) Slide 23

24 Embedded Power Gating Since transistors still leak when power is off Power Switch Control Signals Embedded Power Switches Rows of Standard Cells Can reduce leakage 250x reported But costs Performance Drop in Vdd, Gnd Royannez, et al, 90nm Low Leakage SoC Design Techniques for Wireless Applications, ISSCC 2005 Slide 24

25 Range of Applicability Power supply gating Done to remove leakage power But slows down the circuit Adds series resistance to the supply Energy/op Makes circuit worse when energy sensitivity is high Performance Slide 25

26 Parallelism If the application has data parallelism Parallelism is a way to improve performance With low additional energy cost Slide 26

27 Existing Processors 1 Watts/(Spec*L*Vdd 2 ) processors working in parallel Spec2000*L Slide 27

28 Parallel Server Chip Power 5 from IBM Slide 28

29 Problem Reformulation Best way to save energy is to do less work Energy directly reduced by the reduction in work But required time for the function decreases as well Convert this into extra power gains Shifts the optimal curve down and to the right Energy/op Slide 29 User Performance

30 Cost of Variation Variability changes position of the optimal curves Need to margin Vth, Vdd to ensure circuit always works 10 1 Vth = 120 mv Energy Vth = 0 mv 10-1 Performance 10 0 Slide 30

31 Partial Compensation Adjust Vdd after you get part back Compensates very well for small deviations in Vth 10 1 Vth = 120 mv Energy Vth = 0 mv 10-1 Performance 10 0 Slide 31

32 Reducing Voltage Margins At test time determine Vdd for that part Have private DC-DC converter already 20% Slide 32

33 Variable Application Demands Try to provide a couple of operating points Application can control speed and energy Hard question is what are valid Vdd, F pairs Usually determined during test Dynamic voltage scaling Intel Speed Step in laptop processors 2 performance/power points Transmeta Long Run Technology Many operating points. Test data + formula Slide 33

34 Constant Power Scaling Foxton controller on next-gen. Itanium II Raises Vdd/boosts F when most units idle Lowers Vdd for parallel code to stay in budget Slide 34

35 Self Checking Hardware Razor (Austin/Blaauw, U of Mich) Use the actual hardware to check for errors Latch the input data twice Once on the clock edge, and then a little later If the data is not the same, you are going too fast clk clk_del Din 2 Din 1 Din RAZOR FF Main Flip-Flop Shadow Latch Error_L comparator Q[0] error Slide 35

36 With Error Recovery Can run the chip so it makes some errors Chip gets right answer 99.9% of the time 0.1% of the time, the chip must rerun operation Voltage at 0.1%Error Rate 1.8 Chips 1.7 Linear Fit y= x Voltage at First Failure Slide 36

37 Adjusting Vth In theory want to adjust Vth too Leakage (A) Very hard to do with modern transistors Vdd (V) Slide 37 Leakage vs Vdd with Different Body Bias Vbb=0.8 Vbb=0.7 Vbb=0.6 Vbb=0.5 Vbb=0.4 Vbb=0.3 Vbb=0.2 Vbb=0.1 Vbb=0

38 Future Systems Some simple math Assume scaling continues Dies don t shrink in size Average power/gate must decrease by 2x / generation Since gates are shrinking in size Get 1.4x from capacitive reduction Where is the other factor of 1.4x? Slide 38

39 Exploit Parallelism / Scale Vdd If you have parallelism Add more function units Fill up new die (2x) Lower energy/op E/ P will decrease Vdd, sizes, etc will reduce Build simpler architectures Energy/op Performance Works well when E/ P is large Per unit performance decrease is small Slide 39

40 Exploit Specialization Optimize execution units for different applications Reformulate the hardware to reduce needed work Can improve energy efficiency for a class of applications Stream / Vector processing is a current example Exploit locality, reuse High compute density HISC NI µ-controller Bill Dally et al, Stanford Imagine Memory System SRF Clusters Slide 40

41 Exploit Integration If both those techniques don t work Still can increase integration by at least 1.4x Moving units onto one chip Reduces the number of I/Os on system I/O can take significant power today Allows even larger integration Slide 41

42 TI - OMAP2420 Royannez, et al, 90nm Low Leakage SoC Design Techniques for Wireless Applications, ISSCC 2005 Specialization And power domains Most units are off OMAP Power Domains #1: MCU Core #2: DSP Core #3: Graphic Accelerator #4: Core + Periph. #5: Always On logic Slide 42

43 Low-Power PowerPC Nowka et al., Lowpower PowerPC, ISSCC Slide 43

44 What All This Means As long as $/function and cap continue to scale Moving to the new technology will be profitable And will allow designs to be better systems In the worst case, active die area will decrease Scale gates by the decrease in gate capacitance In most cases, we will do much better But how to optimize devices in this new domain? Slide 44

45 Radical Idea: Scaling channel length may no longer be critical I still want small (i.e. dense) devices But I also want lower variations & external control of Vth Longer Leff may actually improve energy efficiency Less variability lower energy penalty Especially as move to lower performance (parallelism) Energy L nom L nom + 10% 120 mv 110 mv 60 mv 55 mv Performance Slide 45

46 Conclusions Unfortunately power is an old problem Magic bullets have mostly been spent Power will be addressed by application-level optimization, parallelism/specialized functional units, and more adaptive control Need to rethink scaling Still makes things cheaper But what do we want from scaled transistors? Slide 46

47 Technology Scaling Seems simple, Every years Number of transistors double Transistors get faster Gates become lower power (CMOS) Life just gets better and better Slide 47

48 Reality is a Little Different While scaling has been smooth Almost nothing else has been Device and circuit technology has changed DTL, ECL, TTL, pmos, nmos, CMOS Power periodically becomes a critical issue It is critical again Slide 48

49 nmos, TTL, ECL Were King 1978 Started in VLSI First design was bipolar/ecl 3µm nmos was hot Intel 8086 Intel 286 BIT Sparc Slide 49 DEC µvax HP Focus

50 MOS Scaling Was Understood MOS devices operate on electric fields If E fields are the same Relation between E and J is the same So if all voltages and lengths scale iv curve retains the same shape, scaled in V Bob Dennard worked all the math in 74 JSSC Oct 74, pg 256 Slide 50

51 Dilemma Processors today are power limited As are many other chips Technology scaling will not save us With Vdd fixed, energy scaling will be modest How does one build more powerful processors? Or other types of chips When constrained, optimize! Slide 51

52 Optimizing the Right Thing Given systems are power limited Highest performance system is not interesting Will dissipate too much power Lowest energy solution is also not interesting Will not have enough performance Want constrained optimization Highest performance for 20 Watts Lowest power for 100 SPEC Slide 52

53 Leakage Trends Active Power Density Subthreshold Power Density Gate Length (um) Slide 53

54 Design Parameters To Adjust Circuit (sizing, supply, threshold) Circuit topology (adder: CLA, CSA, ) Logic style (domino, pass-gate, ) Energy/op Performance Micro-architecture (pipelining, cache design, branch architecture, etc) Slide 54

55 Energy Efficient Designs Are on the Pareto optimal curve wasting energy Energy/op infeasible Performance On this curve design parameters are constrained Slide 55

56 Leakage Energy Matching marginal costs for Vdd and Vth V dd 0.4 Voltage Leakage Ratio V th Leakage Ratio Activity Factor Slide 56

57 Measured Leakage Data 0.5 Leakage Ratio Slide 57

58 IBM Cell Processor Slide 58

59 Vth Variation Since leakage is exponential on Vth Average Vth for leakage is not the expected Vth Cumulative Probability Relative Leakage Contribution Leakage Vth Relative Leakage Vth Slide 59

60 How Else to Save Energy? Running faster than needed wastes energy Forces you to run higher on performance curve Why do you run faster than needed? Need margins to account for variability From application, environment, or technology Variations cause waste Slide 60

61 Dynamic Voltage Scaling Burd et al ISSCC 2000 Slide 61

62 Dynamic Voltage Scaling Dynamic voltage scaling Adjusts Vdd to the right value for desired performance Big problem is how to find the right Vdd Need to know the relationship between Vdd and F Need to have a circuit that matches the critical path How do you do this with variations? Slide 62

Making the Right Hand Turn to Power Efficient Computing

Making the Right Hand Turn to Power Efficient Computing Making the Right Hand Turn to Power Efficient Computing Justin Rattner Intel Fellow and Director Labs Intel Corporation 1 Outline Technology scaling Types of efficiency Making the right hand turn 2 Historic

More information

First. Who Am I?

First. Who Am I? Power in Microprocessor Designs Mandy Pant MMDC, Intel Email: mondira.pant@intel.com First. Who Am I? 2 Until a few years ago.. Emphasis had been solely on improving performance 3 Times have changed No

More information

Reducing Power Density through Activity Migration

Reducing Power Density through Activity Migration ISLPED 2003 8/26/2003 Reducing Power Density through Activity Migration Seongmoo Heo, Kenneth Barr, and Krste Asanovi Computer Architecture Group, MIT CSAIL Hot Spots Rapid rise of processor power density

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

Study of Power Distribution Techniques for VLSI Design

Study of Power Distribution Techniques for VLSI Design Study of Power Distribution Techniques for VLSI Design Hemraj Kurmi, R.K.Dubey & Ramkishore Patel Department of electronics and Communication engineering Swami Vivekanand University, Sagar email: hemraj.kurmi@gmail.com

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

Fast Low Power (FLP) SOI SRAM Cell for Write/Read Operation

Fast Low Power (FLP) SOI SRAM Cell for Write/Read Operation AUSTRALIAN JOURNAL OF BASIC AND APPLIED SCIENCES ISSN:1991-8178 EISSN: 2309-8414 Journal home page: www.ajbasweb.com Fast Low Power (FLP) SOI SRAM Cell for Write/Read Operation Prabhu, C.M.R. Faculty of

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

Sharif University of Technology Introduction to ASICs

Sharif University of Technology Introduction to ASICs SoC Design Lecture 3: Introduction to ASICs Shaahin Hessabi Department of Computer Engineering Sharif University of Technology IC Technology The term ASIC is often reserved for circuits that are fabricated

More information

EECS 427 Lecture 6: Project architecture and intro logic styles Reading: handout, 6.2. EECS 427 F09 Lecture 6 1. Reminders

EECS 427 Lecture 6: Project architecture and intro logic styles Reading: handout, 6.2. EECS 427 F09 Lecture 6 1. Reminders EES 427 Lecture 6: Project architecture and intro logic styles Reading: handout, 6.2 EES 427 F9 Lecture 6 1 Reminders D3 is due next Wednesday You have until Thursday noon to submit your design Looking

More information

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Arvind NV, Krishna Panda, Anthony Hill Inc. March 2014 Outline Motivation Uncertainty in SOC Design Leveraging Uncertainty

More information

Power, Energy and Performance. Tajana Simunic Rosing Department of Computer Science and Engineering UCSD

Power, Energy and Performance. Tajana Simunic Rosing Department of Computer Science and Engineering UCSD Power, Energy and Performance Tajana Simunic Rosing Department of Computer Science and Engineering UCSD 1 Motivation for Power Management Power consumption is a critical issue in system design today Mobile

More information

Robust Systems for Scaled CMOS and Beyond

Robust Systems for Scaled CMOS and Beyond Robust Systems for Scaled CMOS and Beyond Subhasish Mitra Robust Systems Group Department of EE & Department of CS Stanford University Acknowledgment: Students & Collaborators Robust System Design Perform

More information

VLSI Power Delivery For Core, I/O, and Analog Supplies. Major Electrical Interfaces

VLSI Power Delivery For Core, I/O, and Analog Supplies. Major Electrical Interfaces VLSI Power Delivery For Core, I/O, and Analog Supplies Claude R. Gauthier, Ph.D., Brian W. Amick Sun Microsystems Inc., Major Electrical Interfaces Core Power Delivery Physical and electrical view Parasitic

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Analysis of Functional Unit Power Gating in Embedded Processors

Analysis of Functional Unit Power Gating in Embedded Processors Analysis of Functional Unit Power Gating in Embedded Processors Houman Homayoun and Amirali Baniasadi ECE Department, University of Victoria, Victoria, Canada {homayoun, amirali}@ece.uvic.ca ABSTRACT In

More information

Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design for Yield

Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design for Yield International Cooperation Forum Automotive IC-Design Challenges Strategies Trends Munich, Germany, October 25, 2005 Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design

More information

Digital Design Methodology (Revisited)

Digital Design Methodology (Revisited) Digital Design Methodology (Revisited)! Design Methodology " Design Specification " Verification " Synthesis! Technology Options " Full Custom VLSI " Standard Cell ASIC " FPGA CS 150 Spring 2007 - Lec

More information

Hot Chips-18. Design of a Reusable 1GHz, Superscalar ARM Processor

Hot Chips-18. Design of a Reusable 1GHz, Superscalar ARM Processor Hot Chips-18 Design of a Reusable 1GHz, Superscalar ARM Processor Stephen Hill Consulting Engineer ARM - Austin Design Centre 22 August 2006 1 Outline Overview of Cortex -A8 (Tiger) processor What is reusability

More information

High Level Tools for Low-Power ASIC design

High Level Tools for Low-Power ASIC design High Level Tools for Low-Power ASIC design Arne Schulz OFFIS Research Institute, Germany 1 Overview introduction high level power estimation µprocessors ASICs tool overview µprocessors ASICs conclusion

More information

Slide Set 12, Handouts Page 1. Overview. Slide Set 12: More about Timing. Review: Delay through a Series of Gates.

Slide Set 12, Handouts Page 1. Overview. Slide Set 12: More about Timing. Review: Delay through a Series of Gates. Overview Slide Set 1: More about Timing Steve Wilton Dept. of EE University of British olumbia stevew@ece.ubc.ca In this lecture we will look at delay estimation in more detail, as well as explain how

More information

VLSI Design and Implementation of Ternary Logic Gates and Ternary SRAM Cell

VLSI Design and Implementation of Ternary Logic Gates and Ternary SRAM Cell International Journal of Electronics and Computer Science Engineering 610 Available Online at www.ijecse.org ISSN- 2277-1956 VLSI Design and Implementation of Ternary Logic Gates and Ternary SRAM Cell

More information

Design for Low-Power at the Electronic System Level Frank Schirrmeister ChipVision Design Systems

Design for Low-Power at the Electronic System Level Frank Schirrmeister ChipVision Design Systems Frank Schirrmeister ChipVision Design Systems franks@chipvision.com 1. Introduction 1.1. Motivation Well, it happened again. Just when you were about to beat the high score of your favorite game your portable

More information

Platform-Based Design of Heterogeneous Embedded Systems

Platform-Based Design of Heterogeneous Embedded Systems Platform-Based Design of Heterogeneous Embedded Systems Ingo Sander Royal Institute of Technology Stockholm, Sweden ingo@kth.se Docent Lecture August 31, 2009 Ingo Sander (KTH) Platform-Based Design August

More information

Platform-Based Design of Heterogeneous Embedded Systems

Platform-Based Design of Heterogeneous Embedded Systems Platform-Based Design of Heterogeneous Embedded Systems Ingo Sander Royal Institute of Technology Stockholm, Sweden ingo@kth.se Docent Lecture August 31, 2009 Ingo Sander (KTH) Platform-Based Design August

More information

Lecture 2. Fabrication and Layout

Lecture 2. Fabrication and Layout Lecture 2 Fabrication and Layout Mark Horowitz Modified by Azita Emami Computer Systems Laboratory Stanford University azita@stanford.edu 1 Overview Reading W&E 3.1(scan), 3.2.1, 3.3.1 - Fabrication W&E

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

Reliability Issues in Deep Deep Submicron Technologies: Time-Dependent Variability and its Impact on Embedded System Design

Reliability Issues in Deep Deep Submicron Technologies: Time-Dependent Variability and its Impact on Embedded System Design Reliability Issues in Deep Deep Submicron Technologies: Time-Dependent Variability and its Impact on Embedded System Design Antonis Papanikolaou 1, Hua Wang 1,2, Miguel Miranda 1, Francky Catthoor 1,2

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

Dynamic Power Gating with Quality Guarantees

Dynamic Power Gating with Quality Guarantees Appears in the International Symposium on Low Power Electronics and Design 29 San Francisco, California, August, 29 Dynamic Power Gating with Quality Guarantees Anita Lungu 1, Pradip Bose 2, Alper Buyuktosunoglu

More information

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs Power Grid Analysis Challenges for Large Microprocessor Designs Alexander Korobkov Contents Introduction Oracle Sparc design: data size and trend Power grid extraction challenges

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

THERMAL AND POWER MANAGEMENT OF INTEGRATED CIRCUITS

THERMAL AND POWER MANAGEMENT OF INTEGRATED CIRCUITS THERMAL AND POWER MANAGEMENT OF INTEGRATED CIRCUITS SERIES ON INTEGRATED CIRCUITS AND SYSTEMS Anantha Chandrakasan, Editor Massachusetts Institute of Technology Cambridge, Massachusetts, USA Published

More information

An Analysis of Delay and Area Efficient Carry-Select Adder

An Analysis of Delay and Area Efficient Carry-Select Adder An Analysis of Delay and Area Efficient Carry-Select Adder Vinod A. Malpure 1, Prof.Gurpreet Singh 2 PG Scholar, Department of VLSI Engg., Trinity Institute Of Technology And Research, Bhopal M.P., India

More information

REDUCED OCCUPANCY FOR PROCESSOR EFFICIENCY THROUGH DOWNSIZED OUT-OF-ORDER ENGINE AND REDUCED TRAFFIC. Ziad Youssfi

REDUCED OCCUPANCY FOR PROCESSOR EFFICIENCY THROUGH DOWNSIZED OUT-OF-ORDER ENGINE AND REDUCED TRAFFIC. Ziad Youssfi REDUCED OCCUPANCY FOR PROCESSOR EFFICIENCY THROUGH DOWNSIZED OUT-OF-ORDER ENGINE AND REDUCED TRAFFIC By Ziad Youssfi A DISSERTATION Submitted to Michigan State University in partial fulfillment of the

More information

Ternary Logic Gates and Ternary SRAM Cell Implementation in VLSI

Ternary Logic Gates and Ternary SRAM Cell Implementation in VLSI Ternary Logic Gates and Ternary SRAM Cell Implementation in VLSI Punnam Nagaraju 1, Neerati Vishnuvardhan 2 1 SSIT Sathupalli, JNT University Hyderabad, Kukatpalli, Telangana, India 2 Auraros Technological

More information

SE350: Operating Systems. Lecture 6: Scheduling

SE350: Operating Systems. Lecture 6: Scheduling SE350: Operating Systems Lecture 6: Scheduling Main Points Definitions Response time, throughput, scheduling policy, Uniprocessor policies FIFO, SJF, Round Robin, Multiprocessor policies Scheduling sequential

More information

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows in SD 4. Structural Design team skillset

More information

4. Back-End Timing Closure for HardCopy Series Devices

4. Back-End Timing Closure for HardCopy Series Devices 4. Back-End Timing Closure for HardCopy Series Devices H51013-2.4 Introduction Back-end implementation of HardCopy series devices meet design requirements through a timing closure process similar to the

More information

利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015

利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015 利用交互调试和自动优化技术提高 RTL 设计功耗效率 ANSYS 2015 Powe r Gap Power Budgeting Challenge Design Trends Increasing Power Gap Ref: Cisco Multi-IP, multi-core integration Reduced battery life Ref: Samsung, Asia Tech Forum

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

Brain Inspired Semiconductor Device Technology

Brain Inspired Semiconductor Device Technology US-KOREA NANO FORUM SEOUL, SEPTEMBER 26, 2016 Brain Inspired Semiconductor Device Technology Byoung Hun Lee Director, Center for Emerging Electronic Materials and Systems (CEEDS) School of Material Science

More information

An Overview of Delay-Area Efficient Carry-Select Adder

An Overview of Delay-Area Efficient Carry-Select Adder An Overview of Delay-Area Efficient Carry-Select Adder Ranjit Chandrakant Gawande 1, Prof Vinodkumar P. Patil 2 PG Scholar, Department of E&TC Engg., NES's Gangamai COE, Nagaon, Dhule, M.S., India 1 Assistant

More information

Topics. Performance Characterization. Performance Characterization. Performance Characterization. Resistance Estimation. Performance Characterization

Topics. Performance Characterization. Performance Characterization. Performance Characterization. Resistance Estimation. Performance Characterization Topics Performance haracterization Performance haracterization apacitance Estimation Inductance Estimation Voltage versus Time curve (ideal) 3 March 009 3 March 009 Performance haracterization Performance

More information

High Volume Signal and Power Integrity Design for ASICs

High Volume Signal and Power Integrity Design for ASICs High Volume Signal and Power Integrity Design for ASICs Brian Young brian.young@ti.com Agenda Background SI Methodology Outline SI Numerical Example PI Methodology Outline PI Numerical Example Summary

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

The future of microprocessors

The future of microprocessors Luca Florio The future of microprocessors Borkar, Shekhar, and Andrew A. Chien. "The future of microprocessors."communica)ons of the ACM 54.5 (2011): 67-77. Powered by 2 ObjecOves Understand the reasons

More information

Chapter 1 Introduction Introduction Jan M. Rabaey Slide 1.1

Chapter 1 Introduction Introduction Jan M. Rabaey Slide 1.1 Introduction Jan M. Rabaey Why Worry About Power? The Tongue-in-Cheek Answer Total energy of Milky Way galaxy: 10 59 J Minimum switching energy for digital gate (1 electron@100 mv): 1.6 10 20 J (limited

More information

Designers Describe Next-Generation Application- Specific Devices

Designers Describe Next-Generation Application- Specific Devices End-User Analysis Designers Describe Next-Generation Application- Specific Devices Abstract: Systems designers rate third-party tool integration as one of the top factors in choosing an ASIC vendor, along

More information

11. Timing Closure in HardCopy Devices

11. Timing Closure in HardCopy Devices 11. Timing Closure in HardCopy Devices qii52010-2.0 Introduction Timing analysis is performed on an FPGA design to determine that the design s performance meets the required timing goals. This analysis

More information

Kun Young Chung Design Technology Team System LSI Samsung Electronics

Kun Young Chung Design Technology Team System LSI Samsung Electronics 2012 Test Technology Workshop (Oct. 31, 2012) IDC-System LSI Business 0 Kun Young Chung Design Technology Team System LSI Samsung Electronics An Overview: Test Challenges in 3D ICs Design (Design-for-Test)

More information

How to make THE difference in power management architecture

How to make THE difference in power management architecture How to make THE difference in power management architecture To reduce the Bill-of-Material (BoM) and to simplify their usage, System-on-Chips (SoC) become more and more complex due to the integration of

More information

Carbon Nanotube Imperfection-Immune Digital VLSI

Carbon Nanotube Imperfection-Immune Digital VLSI Carbon Nanotube Imperfection-Immune Digital VLSI Subhasish Mitra Robust Systems Group Department of EE & Department of CS Stanford University H. Chen, J. Deng, A. Hazeghi, A. Lin, N. Patil, M. Shulaker,

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process FUKASE Tadashi, NAKAHARA Yasushi, TAKAHASHI Toshifumi, IMAI Kiyotaka Abstract NEC Electronics has developed

More information

Overview. Design flow. Back-end process. FPGA design process. Conclusions

Overview. Design flow. Back-end process. FPGA design process. Conclusions ASIC Layout Overview Design flow Back-end process FPGA design process Conclusions 2 ASIC Design flow 3 Source: http://www.ami.ac.uk What is Backend? Physical Design: 1. FloorPlanning : Architect s job

More information

The Art of Workload Selection

The Art of Workload Selection The Art of Workload Selection Raj Jain Washington University in Saint Louis Saint Louis, MO 63130 Jain@cse.wustl.edu These slides are available on-line at: http://www.cse.wustl.edu/~jain/cse567-08/ 5-1

More information

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track Systems & Technology Group Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track Ben Mashak, Howard Chen and Bill Hovis {mashak, haowei, hovis}@us.ibm.com Outline

More information

Hafnium -based gate dielectrics for high performance logic CMOS applications

Hafnium -based gate dielectrics for high performance logic CMOS applications Hafnium -based gate dielectrics for high performance logic CMOS applications T. Kelwing*, M. Trentzsch, A. Naumann, B. Bayha, B. Trui, L. Herrmann, F. Graetsch, R. Carter, R. Stephan, P. Kuecher & W. Hansch

More information

@JEMBEN HOW FORGOTTEN KNOWLEDGE WILL HELP YOU AVOID REGRETTABLE DECISION

@JEMBEN HOW FORGOTTEN KNOWLEDGE WILL HELP YOU AVOID REGRETTABLE DECISION @JEMBEN HOW FORGOTTEN KNOWLEDGE WILL HELP YOU AVOID REGRETTABLE DECISION Regret a decision! Or Scared to be wrong? Optimistic biased decision! OUR LIKELIHOOD ESTIMATION? IT WILL TURN OUT FINE AIR WATER

More information

An Efficient Timing and Clock Tree Aware Placement Flow with Multibit Flip-Flops for Power Reduction

An Efficient Timing and Clock Tree Aware Placement Flow with Multibit Flip-Flops for Power Reduction An Efficient Timing and Clock Tree Aware Placement Flow with Multibit Flip-Flops for Power Reduction by Jasmine Kaur Gulati MT14081 Under the Supervision of Dr. Sumit Darak Bhanu Prakash, ST Microelectronics

More information

The ETL Problem Solved: The Compelling Financial Case for Running Analytics on the Mainframe

The ETL Problem Solved: The Compelling Financial Case for Running Analytics on the Mainframe Advisory The ETL Problem Solved: The Compelling Financial Case for Running Analytics on the Mainframe Executive Summary With the introduction of analytics products tuned for the mainframe, and with improvements

More information

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon

High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon High Performance High-K + Metal Gate Strain Enhanced Transistors on (110) Silicon Paul Packan, S. Cea*, H. Deshpande, T. Ghani, M. Giles*, O. Golonzka, M. Hattendorf, R. Kotlyar*, K. Kuhn, A. Murthy, P.

More information

CHALLENGES OF HIGH-CAPACITY DRAM STACKS AND POTENTIAL DIRECTIONS

CHALLENGES OF HIGH-CAPACITY DRAM STACKS AND POTENTIAL DIRECTIONS CHALLENGES OF HIGH-CAPACITY DRAM STACKS AND POTENTIAL DIRECTIONS AMIN FARMAHINI-FARAHANI, SUDHANVA GURUMURTHI, GABRIEL LOH, MIKE IGNATOWSKI (PRESENTER) AMD ADVANCED RESEARCH, LLC EXECUTIVE SUMMARY Major

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

Microelectronics Devices

Microelectronics Devices Microelectronics Devices Yao-Joe Yang 1 Outline Basic semiconductor physics Semiconductor devices Resistors Capacitors P-N diodes BJT/MOSFET 2 Type of Solid Materials Solid materials may be classified

More information

Single Ended Pass-Transistor Logic A comparison with CM OS and CP L

Single Ended Pass-Transistor Logic A comparison with CM OS and CP L Single Ended Pass-Transistor Logic A comparison with CM OS and CP L Mihai Munteanu, Peter A. Ivey, Luke Seed, Marios Psilogeorgopoulos, Neil Powell, Istvan Bogdan University of Sheffield, E.E.E. Department,

More information

Lecture 8 Testability Measures

Lecture 8 Testability Measures Lecture 8 Testability Measures Origins Controllability and observability SCOAP measures Sources of correlation error Combinational circuit example Sequential circuit example Test vector length prediction

More information

LI SHANG AND ROBERT P. DICK

LI SHANG AND ROBERT P. DICK THERMAL CRISIS: CHALLENGES AND POTENTIAL SOLUTIONS LI SHANG AND ROBERT P. DICK FOR DECADES, DIGITAL INTEGRAT- ED circuits (ICs) have benefited from the relentless progress predicted by Moore s Law. With

More information

NBTI-Aware DVFS: A New Approach to Saving Energy and Increasing Processor Lifetime

NBTI-Aware DVFS: A New Approach to Saving Energy and Increasing Processor Lifetime NBTI-Aware DVFS: A New Approach to Saving Energy and Increasing Processor Lifetime Mehmet Basoglu Michael Orshansky Mattan Erez The University of Texas at Austin Electrical and Computer Engineering Department

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

RADIATION HARDNESS OF MEMRISTIVE SYSTEMS

RADIATION HARDNESS OF MEMRISTIVE SYSTEMS RADIATION HARDNESS OF MEMRISTIVE SYSTEMS A. FANTINI ON BEHALF OF IMEC RRAM TEAM AND VU ISDE TEAM Workshop on Memristive systems for Space applications ESTEC - 30/04/2015 OUTLINE Introduction RRAM for space

More information

Silicon Labs Investor Presentation 25 A P R I L 2018

Silicon Labs Investor Presentation 25 A P R I L 2018 Silicon Labs Investor Presentation 25 A P R I L 2018 Private Securities Litigation Reform Act of 1995 This presentation contains forward-looking statements based on Silicon Labs current expectations. These

More information

Worst-Case Design and Margin for Embedded SRAM Robert Aitken and Sachin Idgunji ARM, Sunnyvale CA, USA {rob.aitken,

Worst-Case Design and Margin for Embedded SRAM Robert Aitken and Sachin Idgunji ARM, Sunnyvale CA, USA {rob.aitken, Worst-Case Design and Margin for Embedded SRAM Robert Aitken and Sachin Idgunji ARM, Sunnyvale CA, USA {rob.aitken, sachin.idgunji}@arm.com Abstract An important aspect of Design for Yield for embedded

More information

Altera s Roadmap. Looking Forward Altera Corporation

Altera s Roadmap. Looking Forward Altera Corporation Altera s Roadmap Looking Forward 2004 Altera Corporation Agenda Technology & Process Product Roadmap & Challenges The Design Environment The System Design Decision HardCopy II Structured ASICs 2 2004 Altera

More information

Shifting Sands. Semiconductor foundries were. The Future for Semiconductor Foundries. By Enrique Duarte Melo and David Michael

Shifting Sands. Semiconductor foundries were. The Future for Semiconductor Foundries. By Enrique Duarte Melo and David Michael Shifting Sands The Future for Semiconductor Foundries By Enrique Duarte Melo and David Michael New dynamics are combining with historical determinants of success to reshape the semiconductor sector. The

More information

Reliability of Integrated Circuits and Semiconductor Devices

Reliability of Integrated Circuits and Semiconductor Devices ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Reliability of Integrated Circuits and Semiconductor Devices Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

Delivering High Performance for Financial Models and Risk Analytics

Delivering High Performance for Financial Models and Risk Analytics QuantCatalyst Delivering High Performance for Financial Models and Risk Analytics September 2008 Risk Breakfast London Dr D. Egloff daniel.egloff@quantcatalyst.com QuantCatalyst Inc. Technology and software

More information

Silicon Labs Investor Presentation 2 4 O C T O B E R

Silicon Labs Investor Presentation 2 4 O C T O B E R Silicon Labs Investor Presentation 2 4 O C T O B E R 2 0 1 8 Private Securities Litigation Reform Act of 1995 This presentation contains forward-looking statements based on Silicon Labs current expectations.

More information

Thermal Challenges for SPARC Based Microprocessors

Thermal Challenges for SPARC Based Microprocessors MEPTEC Thermal Management Symposium, February, 2006 Thermal Challenges for SPARC Based Microprocessors SP Bidyut Sen & Jim Jones Semiconductor Packaging Sun Microsystems Make Money Grow Re-enlist Champions

More information

Introduction to. Hybrid Systems Analog+Digital analog. Hybrid. Reactive Systems. Definition for Embedded Systems. embedded embedded real-time

Introduction to. Hybrid Systems Analog+Digital analog. Hybrid. Reactive Systems. Definition for Embedded Systems. embedded embedded real-time Definition for Embedded Systems Introduction to Embedded d Computing Embedded systems (ES) = information processing systems embedded into a larger product keyword: a specific function, embedded within

More information

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C SNEHA S 1, ROOPA G 2 1 PG Student, Dept. of Electronics and Communication Engineering, Nagarjuna College of Engineering, Bengaluru Karnataka Email: sneha44enz@gmail.com

More information

Application-Aware Power Management. Karthick Rajamani, Heather Hanson*, Juan Rubio, Soraya Ghiasi, Freeman Rawson

Application-Aware Power Management. Karthick Rajamani, Heather Hanson*, Juan Rubio, Soraya Ghiasi, Freeman Rawson Application-Aware Power Management Karthick Rajamani, Heather Hanson*, Juan Rubio, Soraya Ghiasi, Freeman Rawson Power-Aware Systems, IBM Austin Research Lab *University of Texas at Austin Outline Motivation

More information

Research Report. The Major Difference Between IBM s LinuxONE and x86 Linux Servers

Research Report. The Major Difference Between IBM s LinuxONE and x86 Linux Servers Research Report The Major Difference Between IBM s LinuxONE and x86 Linux Servers Executive Summary The most important point in this Research Report is this: mainframes process certain Linux workloads

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

IBM xseries 430. Versatile, scalable workload management. Provides unmatched flexibility with an Intel architecture and open systems foundation

IBM xseries 430. Versatile, scalable workload management. Provides unmatched flexibility with an Intel architecture and open systems foundation Versatile, scalable workload management IBM xseries 430 With Intel technology at its core and support for multiple applications across multiple operating systems, the xseries 430 enables customers to run

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

An Oracle White Paper January Upgrade to Oracle Netra T4 Systems to Improve Service Delivery and Reduce Costs

An Oracle White Paper January Upgrade to Oracle Netra T4 Systems to Improve Service Delivery and Reduce Costs An Oracle White Paper January 2013 Upgrade to Oracle Netra T4 Systems to Improve Service Delivery and Reduce Costs Executive Summary... 2 Deploy Services Faster and More Efficiently... 3 Greater Compute

More information

Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs. Norman Chang, VP and Sr. Product Strategist

Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs. Norman Chang, VP and Sr. Product Strategist Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs Norman Chang, VP and Sr. Product Strategist 1 2012 ANSYS, Inc. August 31, 2012 Outline 3D-IC/Silicon Interposer

More information

Predicting, Validating, and Improving Yield of Multi-Chip RF Modules During Product Development

Predicting, Validating, and Improving Yield of Multi-Chip RF Modules During Product Development Predicting, Validating, and Improving Yield of Multi-Chip RF Modules During Product Development James M. Eastham, Member, IEEE Director, Product Development Engineering at TriQuint Semiconductor james.eastham@ieee.org

More information

Dynamic Thermal Management in Modern Processors

Dynamic Thermal Management in Modern Processors Dynamic Thermal Management in Modern Processors Shervin Sharifi PhD Candidate CSE Department, UC San Diego Power Outlook Vdd (Volts) 1.2 0.8 0.4 0.0 Ideal Realistic 2001 2005 2009 2013 2017 V dd scaling

More information

PTSMT: A Tool for Cross-Level Power, Performance, and Thermal Exploration of SMT Processors

PTSMT: A Tool for Cross-Level Power, Performance, and Thermal Exploration of SMT Processors 21st International Conference on VLSI Design PTSMT: A Tool for Cross-Level Power, Performance, and Thermal Exploration of SMT Processors Deepa Kannan, Aseem Gupta, Aviral Shrivastava, Nikil D. Dutt, Fadi

More information

Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015

Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015 1 NCCAVS Junction Technology Group SEMICON West 2015 Meeting July 16, 2015 Process Uniformity Improvements for LSA Millisecond Annealing in the FinFET era Jim McWhirter, Ph.D. July 16, 2015 DEVICE PERFORMANCE

More information

CAMP: A Technique to Estimate Per-Structure Power at Run-time using a Few Simple Parameters

CAMP: A Technique to Estimate Per-Structure Power at Run-time using a Few Simple Parameters CAMP: A Technique to Estimate Per-Structure Power at Run-time using a Few Simple Parameters Michael D. Powell, Arijit Biswas, Joel S. Emer, Shubhendu S. Mukherjee, Basit R. Sheikh 1, Shrirang Yardi 2 Intel

More information

Closing the gap between ASIC & Custom

Closing the gap between ASIC & Custom Closing the gap between ASIC & Custom Chapters 9, 10 and 11 Presented by Raimo Mäkelä Content of presentation Chapter 9 Faster and Lower Power Cell-Based Design with Transistor-Level Cell Sizing Chapter

More information

Basic Opamp Design and Compensation. Transistor Model Summary

Basic Opamp Design and Compensation. Transistor Model Summary Basic Opamp Design and Compensation David Johns and Ken Martin (johns@eecg.toronto.edu) (martin@eecg.toronto.edu) slide of 37 General Constants Transistor charge Boltzman constant Transistor Model Summary

More information

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Scott / Graser 16 / Oct / 2015 Agenda Introduction -- Cadence Power Signoff Solution Transistor-Level EMIR Challenges and

More information

Motivating Examples of the Power of Analytical Modeling

Motivating Examples of the Power of Analytical Modeling Chapter 1 Motivating Examples of the Power of Analytical Modeling 1.1 What is Queueing Theory? Queueing theory is the theory behind what happens when you have lots of jobs, scarce resources, and subsequently

More information

On the Efficacy of NBTI Mitigation Techniques

On the Efficacy of NBTI Mitigation Techniques On the Efficacy of NBTI Mitigation Techniques Tuck-Boon Chan, John Sartori, Puneet Gupta and Rakesh Kumar ECE Dept., University of Illinois at Urbana-Champaign. {sartori2,rakeshk}@illinois.edu EE Dept.,

More information