Topics. Performance Characterization. Performance Characterization. Performance Characterization. Resistance Estimation. Performance Characterization

Size: px
Start display at page:

Download "Topics. Performance Characterization. Performance Characterization. Performance Characterization. Resistance Estimation. Performance Characterization"

Transcription

1 Topics Performance haracterization Performance haracterization apacitance Estimation Inductance Estimation Voltage versus Time curve (ideal) 3 March March 009 Performance haracterization Performance haracterization Gate delay Voltage versus Time curve Interconnect delay 3 March March Performance haracterization Delay Primary determinant of the speed of a circuit Due to resistances and capacitances Dependent on resistivity ρ of material Directly proportional to length Inversely proportional to cross-sectional area l R = ρ A 3 March March 009 6

2 l ρ l R = ρ = = Rs A H W L W R s is the sheet resistance expressed in terms of Ω/ (ohms per square) square is a dimensionless quantity 3 March March Intrinsic resistance In linear region (for a given V GS ) (Al) (Based on a typical 0.5 µm MOS process) I DS = k ( VGS VT ) V DS V DS L Req = = = k( V V W GS T ) µ V V ox( VGS VT ) W ox ( GS T ) µ L Rs = µ ( V V ) ox GS T 3 March March apacitance Estimation Intrinsic resistance Dependent on ox and carrier mobility Typically Ω/ Temperature variant Intrinsic capacitance Interconnect capacitance Interconnect capacitance and resistance is the primary determinant of interconnect delays Rs = µ ( V V ) ox GS T 3 March March 009

3 Overlap related capacitance hannel related capacitances Dependent on region of operation Diffusion to substrate capacitances 3 March March Overlap related capacitance ε ox GSO = GDO = Aoverlap = ox xdw tox Usually can be ignored since x D is very small Source n+ Gate x D p substrate Gate oxide Drain n+ 3 March March hannel related capacitances utoff No channel Therefore, no gate to source or drain capacitances hannel related capacitances Depletion -- No channel 3 March March

4 hannel related capacitances As gate voltage increases, depletion region deepens (d increases), causing dep to decrease, and thus decrease the gate to body capacitance As gate voltage nears V T, inversion channel forms causing a barrier for the gate to body capacitance hannel related capacitances Saturation hannel is pinched off Gate to source capacitance exists Gate to drain capacitance is zero GS = 0 GD = oxwl 3 3 March March hannel related capacitances Linear hannel is formed Therefore, no gate to body capacitance GS = GD = oxwl Depletion Saturation Linear 3 March March 009 Transistor Grate apacitance hannel related capacitances Worst case g = WL ox ox ranges from.7-6 ff/µm For a.5µ by.5µ channel = (6)(.5)(.5) g =3.5 ff 3 March March

5 Diffusion to substrate capacitance Junction capacitance Side wall or periphery capacitance (drain and source sidewalls) diff = L W j s j is the bottom-plate capacitance per area = ( L W ) diff jsw s + jsw is the side wall capacitance per linear distance 3 March March Interconnect capacitances j is typically ff/µm jsw is typically ff/µm For a.5µ by.5µ diffusion region = L W + ( L W ) diff j s jsw s + = (.5)(.5) +.8( ) = 5.8 ff plate ε di = WL t di 3 March March Interconnect capacitances ross-interconnect capacitances When h is comparable in magnitude to t, fringing electric fields can increase the total effective parasitic capacitance The effect is magnified as the ratio of w to h decreases If w=h, the effective capacitance can be up to 0 times plate an be very difficult to compute Requires three dimensional field simulations Usually provided by process measurements 3 March March

6 ross-interconnect capacitances Inductance For the most part is not an issue Small enough to ignore except for very high performance chips Inductance is usually higher for I/O interfaces 3 March March Delay Definitions Interconnect delay Lumped R model harge V in to V DD t The transient output voltage is V = R out( t) VDD e V DD t dlh R V DD e = ln = R t t dlh. 69R 3 March March Interconnect delay More accurate than lumped R model More difficult to solve for large N Need full-scale SPIE simulation 3 March

Basic Opamp Design and Compensation. Transistor Model Summary

Basic Opamp Design and Compensation. Transistor Model Summary Basic Opamp Design and Compensation David Johns and Ken Martin (johns@eecg.toronto.edu) (martin@eecg.toronto.edu) slide of 37 General Constants Transistor charge Boltzman constant Transistor Model Summary

More information

Munira Raja. Organic Electronics Group Department of Electrical Engineering & Electronics.

Munira Raja. Organic Electronics Group Department of Electrical Engineering & Electronics. MOS-AK/GSA ESSDERC/ESSCIRC Workshop, Bordeaux, 21 st Sept 2012 Munira Raja mraja@liv.ac.uk Organic Electronics Group Department of Electrical Engineering & Electronics Motivation Organic Electronics is

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Manufacturing Process

Manufacturing Process Manufacturing Process 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process 3 Single-crystal ingot

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Slide Set 12, Handouts Page 1. Overview. Slide Set 12: More about Timing. Review: Delay through a Series of Gates.

Slide Set 12, Handouts Page 1. Overview. Slide Set 12: More about Timing. Review: Delay through a Series of Gates. Overview Slide Set 1: More about Timing Steve Wilton Dept. of EE University of British olumbia stevew@ece.ubc.ca In this lecture we will look at delay estimation in more detail, as well as explain how

More information

Full chip model of CMOS Integrated Circuits under Charged Device Model stress

Full chip model of CMOS Integrated Circuits under Charged Device Model stress Full chip model of CMOS Integrated Circuits under Charged Device Model stress M.S.B.Sowariraj, Cora Salm, *Theo Smedes, A.J. Ton Mouthaan and Fred G Kuper MESA+ Research Institute, University of Twente

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

Lecture 26 - The "Long" Metal-Oxide-Semiconductor Field-Effect Transistor (cont.)

Lecture 26 - The Long Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) 6.7205/3.435 - Integrated Microelectronic Devices - Spring 2007 Lecture 26-1 Lecture 26 - The "Long" Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) Contents: April 11, 2007 I. Current-voltage

More information

Lectures on FREQUENCY RESPONSE

Lectures on FREQUENCY RESPONSE University of California Berkeley College of Engineering Department of Electrical Engineering and Computer Science Robert W. Brodersen EECS40 Analog Circuit Design Lectures on FREQUENCY RESPONSE Bode Plots

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

Microelectronics Devices

Microelectronics Devices Microelectronics Devices Yao-Joe Yang 1 Outline Basic semiconductor physics Semiconductor devices Resistors Capacitors P-N diodes BJT/MOSFET 2 Type of Solid Materials Solid materials may be classified

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Problem 1 Lab Questions ( 20 points total)

Problem 1 Lab Questions ( 20 points total) Problem 1 Lab Questions ( 20 points total) (a) (3 points ) In our EE143 lab, we use Phosphorus for the source and drain diffusion. However, most advanced processes use Arsenic. What is the advantage of

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 7: CMOS Manufacturing Process Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last

More information

Quarterly Report EPRI Agreement W

Quarterly Report EPRI Agreement W Quarterly Report EPRI Agreement W08069-07 PI: S.J. Pearton, University of Florida (Co-investigators F. Ren, C.R. Abernathy, R.K. Singh, P.H. Holloway, T.J. Anderson, M. Berding, A. Sher, S. Krishnimurthy,

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

Device Marking Device Device Package Reel Size Tape width Quantity NCE5520Q NCE5520Q DFN3X3EP Parameter Symbol Limit Unit

Device Marking Device Device Package Reel Size Tape width Quantity NCE5520Q NCE5520Q DFN3X3EP Parameter Symbol Limit Unit http://www.ncepower.com NCE N-Channel Enhancement Mode Power MOSFET Description The uses advanced trench technology and design to provide excellent R DS(ON) with low gate charge. It can be used in a wide

More information

3 Diode Model - Level 500

3 Diode Model - Level 500 April 2007 Diode Model - Level 500 3 Diode Model - Level 500 25 Diode Model - Level 500 April 2007 3.1 Introduction The Diode level-500 model provides a detailed description of the diode currents in forward

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9 Issued: Tuesday, Nov. 11, 2014 PROLEM SET #9 Due: Wednesday, Nov. 19, 2010, 8:00 a.m. in the EE 143 homework box near 140 Cory 1. The following pages comprise an actual pwell CMOS process flow with poly-to-poly

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES

Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES Materials of Engineering ENGR 151 ELECTRCIAL PROPERTIES ELECTRON ENERGY BAND STRUCTURES Atomic states split to form energy bands Adapted from Fig. 18.2, Callister & Rethwisch 9e. 2 BAND STRUCTURE REPRESENTATION

More information

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Indian Journal of Pure & Applied Physics Vol. 42, July 2004, pp 528-532 Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Navneet Gupta* & B P Tyagi**

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation EEC 118 Lecture #5: MOS Fabrication Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Lab 3 this week, report due next week HW 3 due this Friday at 4

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

EE THERMAL OXIDATION - Chapter 6. Basic Concepts

EE THERMAL OXIDATION - Chapter 6. Basic Concepts EE 22 FALL 999-00 THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. SiO 2 : Easily selectively etched using

More information

VLSI Digital Systems Design

VLSI Digital Systems Design VLSI Digital Systems Design CMOS Processing cmpe222_03process_ppt.ppt 1 Si Purification Chemical purification of Si Zone refined Induction furnace Si ingot melted in localized zone Molten zone moved from

More information

Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures

Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures Author Haasmann, Daniel, Dimitrijev, Sima, Han, Jisheng, Iacopi, Alan Published 214 Journal Title Materials Science Forum DOI https://doi.org/1.428/www.scientific.net/msf.778-78.627

More information

Low temperature amorphous and nanocrystalline silicon thin film transistors. deposited by Hot-Wire CVD on glass substrate

Low temperature amorphous and nanocrystalline silicon thin film transistors. deposited by Hot-Wire CVD on glass substrate Low temperature amorphous and nanocrystalline silicon thin film transistors deposited by Hot-Wire CVD on glass substrate M. Fonrodona 1, D. Soler 1, J. Escarré 1, F. Villar 1, J. Bertomeu 1 and J. Andreu

More information

Study on the hydrogenated ZnO-based thin film transistors

Study on the hydrogenated ZnO-based thin film transistors Final Report Study on the hydrogenated ZnO-based thin film transistors To Dr. Gregg Jessen Asian Office of Aerospace Research & Development April 30th, 2011 Jae-Hyung Jang School of Information and Communications

More information

Ultra Low Resistance Ohmic Contacts to InGaAs/InP

Ultra Low Resistance Ohmic Contacts to InGaAs/InP Ultra Low Resistance Ohmic Contacts to InGaAs/InP Uttam Singisetti*, A.M. Crook, E. Lind, J.D. Zimmerman, M. A. Wistey, M.J.W. Rodwell, and A.C. Gossard ECE and Materials Departments University of California,

More information

UNIT 4. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun

UNIT 4. By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun UNIT 4 By: Ajay Kumar Gautam Asst. Prof. Dev Bhoomi Institute of Technology & Engineering, Dehradun Syllabus METALLIZATION: Applications and choices, physical vapor deposition, patterning, problem areas.

More information

Device Marking Device Device Package Reel Size Tape width Quantity 138 BSS138 SOT-23 Ø180mm 8 mm 3000 units. Parameter Symbol Limit Unit

Device Marking Device Device Package Reel Size Tape width Quantity 138 BSS138 SOT-23 Ø180mm 8 mm 3000 units. Parameter Symbol Limit Unit http://www.ncepower.com NCE N-Channel Enhancement Mode Power MOSFET GENERAL FEATURES V DS = 50V,I D = 0.22A R DS(ON) < 3Ω @ V GS =4.5V R DS(ON) < 2Ω @ V GS =10V Lead free product is acquired Surface Mount

More information

Challenges of Silicon Carbide MOS Devices

Challenges of Silicon Carbide MOS Devices Indo German Winter Academy 2012 Challenges of Silicon Carbide MOS Devices Arjun Bhagoji IIT Madras Tutor: Prof. H. Ryssel 12/17/2012 1 Outline What is Silicon Carbide (SiC)? Why Silicon Carbide? Applications

More information

Is Now Part of To learn more about ON Semiconductor, please visit our website at

Is Now Part of To learn more about ON Semiconductor, please visit our website at Is Now Part of To learn more about ON Semiconductor, please visit our website at www.onsemi.com ON Semiconductor and the ON Semiconductor logo are trademarks of Semiconductor Components Industries, LLC

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

CHAPTER 2 - CMOS TECHNOLOGY

CHAPTER 2 - CMOS TECHNOLOGY CMOS Analog Circuit Design Page 2.0-1 CHAPTER 2 - CMOS TECHNOLOGY Chapter Outline 2.1 Basic MOS Semiconductor Fabrication Processes 2.2 CMOS Technology 2.3 PN Junction 2.4 MOS Transistor 2.5 Passive Components

More information

ASIC Physical Design CMOS Processes

ASIC Physical Design CMOS Processes ASIC Physical Design CMOS Processes Smith Text: Chapters 2 & 3 Weste CMOS VLSI Design Global Foundries: BiCMOS_8HP8XP_Training.pdf BiCMOS_8HP_Design_Manual.pdf Physical design process overview CMOS transistor

More information

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb* International Forum on Energy, Environment and Sustainable Development (IFEESD 2016) Effect of annealing temperature on the electrical properties of HfAlO thin films Chun Lia, Zhiwei Heb* Department of

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006)

Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006) Semiconductor Physics Course Final Presentation CMOS Fabrication by Özgür Çobanoğlu (Turin, 2006) 1 Outline Sections and Contents of the Presentation What is MOS? How does MOS behave in low level? A useful

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

MOSFET. n+ poly Si. p- substrate

MOSFET. n+ poly Si. p- substrate EE143 Midterm #1 Solutions Fall 2005 (maximum score is 97) Problem 1 Processing Modules and Simple Process Sequence (25 points total) The following schematic cross-section shows a MOSFET together with

More information

Loss Density Distribution and Power Module Failure Modes of IGBT

Loss Density Distribution and Power Module Failure Modes of IGBT Loss Density Distribution and Power Module Failure Modes of IGBT Amit Thakur #1, Y S Thakur #2, Dr. D.K. Sakravdia #3 1 Ujjain Engineering College Ujjain, India 2 Ujjain Engineering College Ujjain, India

More information

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone!

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone! -Spring 006 Digital Integrated Circuits Lecture 4 CMOS Manufacturing Process Design Rules EECS141 1 Good News! We are moving to 155 Donner Lab From Thursday, Feb We will be able to accommodate everyone!

More information

EE-612: Lecture 28: Overview of SOI Technology

EE-612: Lecture 28: Overview of SOI Technology EE-612: Lecture 28: Overview of SOI Technology Mark Lundstrom Electrical and Computer Engineering Purdue University West Lafayette, IN USA Fall 2006 NCN www.nanohub.org Lundstrom EE-612 F06 1 outline 1)

More information

THERMAL OXIDATION - Chapter 6 Basic Concepts

THERMAL OXIDATION - Chapter 6 Basic Concepts THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. Oxide Thickness µm 0. µm 0 nm nm Thermally Grown Oxides

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Slide 1. Slide 2. Slide 3. Chapter 19: Electronic Materials. Learning Objectives. Introduction

Slide 1. Slide 2. Slide 3. Chapter 19: Electronic Materials. Learning Objectives. Introduction Slide 1 Chapter 19: Electronic Materials 19-1 Slide 2 Learning Objectives 1. Ohm s law and electrical conductivity 2. Band structure of solids 3. Conductivity of metals and alloys 4. Semiconductors 5.

More information

Reliability of Integrated Circuits and Semiconductor Devices

Reliability of Integrated Circuits and Semiconductor Devices ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Reliability of Integrated Circuits and Semiconductor Devices Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic

More information

Status Report: Optimization and Layout Design of AGIPD Sensor

Status Report: Optimization and Layout Design of AGIPD Sensor Status Report: Optimization and Layout Design of AGIPD Sensor Joern Schwandt, Jiaguo Zhang and Robert Klanner Institute for Experimental Physics, Hamburg University Jiaguo Zhang, Hamburg University 10th

More information

EE CMOS TECHNOLOGY- Chapter 2 in the Text

EE CMOS TECHNOLOGY- Chapter 2 in the Text 1 EE 212 FALL 1999-00 CMOS TECHOLOGY- Chapter 2 in the Text In this set of notes we will describe a modern CMOS process flow. In the simplest CMOS technologies, we need to realize simply MOS and MOS transistors

More information

Lezioni di Tecnologie e Materiali per l Elettronica

Lezioni di Tecnologie e Materiali per l Elettronica Lezioni di Tecnologie e Materiali er l Elettronica Danilo Manstretta danilo.manstretta@univ.it microlab.univ.it Outline Passive comonents Resistors Caacitors Inductors Printed circuits technologies Materials

More information

Fabrication and Layout

Fabrication and Layout Fabrication and Layout Kenneth Yun UC San Diego Adapted from EE271 notes, Stanford University Overview Semiconductor properties How chips are made Design rules for layout Reading Fabrication: W&E 3.1,

More information

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY CHAPTER - 4 CMOS PROCESSING TECHNOLOGY Samir kamal Spring 2018 4.1 CHAPTER OBJECTIVES 1. Introduce the CMOS designer to the technology that is responsible for the semiconductor devices that might be designed

More information

Semiconductor Very Basics

Semiconductor Very Basics Semiconductor Very Basics Material (mostly) from Semiconductor Devices, Physics & Technology, S.M. Sze, John Wiley & Sons Semiconductor Detectors, H. Spieler (notes) July 3, 2003 Conductors, Semi-Conductors,

More information

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs Power Grid Analysis Challenges for Large Microprocessor Designs Alexander Korobkov Contents Introduction Oracle Sparc design: data size and trend Power grid extraction challenges

More information

Gate Stack And Channel Engineering: Study Of Metal Gates And Ge Channel Devices

Gate Stack And Channel Engineering: Study Of Metal Gates And Ge Channel Devices University of Central Florida Electronic Theses and Dissertations Doctoral Dissertation (Open Access) Gate Stack And Channel Engineering: Study Of Metal Gates And Ge Channel Devices 2007 Ravi Todi University

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

GRAIN BOUNDARY RECOMBINATION PROCESSES AND CARRIER TRANSPORT IN POLYCRYSTALLINE SEMICONDUCTORS UNDER OPTICAL ILLUMINATION

GRAIN BOUNDARY RECOMBINATION PROCESSES AND CARRIER TRANSPORT IN POLYCRYSTALLINE SEMICONDUCTORS UNDER OPTICAL ILLUMINATION CHAPTER V GRAIN BOUNDARY RECOMBINATION PROCESSES AND CARRIER TRANSPORT IN POLYCRYSTALLINE SEMICONDUCTORS UNDER OPTICAL ILLUMINATION 5.1 INTRODUCTION P olycrystalline semiconductors are potential candidates

More information

CMOS VLSI Design M.Tech. First semester VTU Anil V. Nandi, ECE department, BVBCET, Hubli

CMOS VLSI Design M.Tech. First semester VTU Anil V. Nandi, ECE department, BVBCET, Hubli CMOS VLSI Design M.Tech. First semester VTU Anil V. Nandi, ECE department, BVBCET, Hubli-580031. Contents: Semiconductor Technology overview Silicon Growth/Processing,Oxidation, Diffusion, Epitaxy, deposition,

More information

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing.

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 0, 00 1 CMOS Process 1 A Modern CMOS Process gate-oxide TiSi AlCu Tungsten

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) contents UNIT I INTRODUCTION: Introduction to IC Technology MOS, PMOS, NMOS, CMOS & BiCMOS technologies. BASIC ELECTRICAL PROPERTIES : Basic Electrical

More information

Effect of grain boundaries on photovoltaic properties of PX-GaAs films

Effect of grain boundaries on photovoltaic properties of PX-GaAs films Indian Journal of Pure & Applied Physics Vol. 48, August 2010, pp. 575-580 Effect of grain boundaries on photovoltaic properties of PX-GaAs films M K Sharma & D P Joshi* Principal, Govt Sr Sec School Kolar,

More information

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing Sungkweon Baek, Sungho Heo, and Hyunsang Hwang Dept. of Materials Science and Engineering Kwangju

More information

BIRD95 and BIRD98 Simulations

BIRD95 and BIRD98 Simulations BIRD95 and BIRD98 Simulations Randy Wolff Micron Technology Lance Wang IO Methodology European IBIS Summit Naples, Italy 2010 Micron Technology, Inc. All rights reserved. Products are warranted only to

More information

ELECTRICAL PROPERTIES OF POLYCRYSTALLINE SILICON IN DARK

ELECTRICAL PROPERTIES OF POLYCRYSTALLINE SILICON IN DARK CHAPTER III ELECTRICAL PROPERTIES OF POLYCRYSTALLINE SILICON IN DARK 3.1 INTRODUCTION A lot of attention is being focused on the electrical properties of PX-Silicon because of its application in integrated

More information

Chip-Level and Board-Level CDM ESD Tests on IC Products

Chip-Level and Board-Level CDM ESD Tests on IC Products Chip-Level and Board-Level CDM ESD Tests on IC Products Ming-Dou Ker 1, 2, Chih-Kuo Huang 1, 3, Yuan-Wen Hsiao 1, and Yong-Fen Hsieh 3 1 Institute of Electronics, National Chiao-Tung University, Hsinchu,

More information

Mathematical Model of RuO 2 /Carbon Composite Electrode for Supercapacitors

Mathematical Model of RuO 2 /Carbon Composite Electrode for Supercapacitors Mathematical Model of RuO /Carbon Composite Electrode for Supercapacitors by Hansung Kim and Branko N. Popov Center for Electrochemical Engineering Review of previous models for supercapacitors based on

More information

Novel Technique for Flip Chip Packaging of High power Si, SiC and GaN Devices. Nahum Rapoport, Remtec, Inc.

Novel Technique for Flip Chip Packaging of High power Si, SiC and GaN Devices. Nahum Rapoport, Remtec, Inc. Novel Technique for Flip Chip Packaging of High power Si, SiC and GaN Devices Nahum Rapoport, Remtec, Inc. 1 Background Electronic Products Designers: under pressure to decrease cost and size Semiconductor

More information

EE 330 Lecture 12. Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes EE 330 Lecture 12 Devices in Semiconductor Processes Review from Lecture 9 Copper Interconnects Limitations of Aluminum Interconnects Electromigration Conductivity not real high Relevant Key Properties

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

TWO-DIMENSIONAL MODELING OF EWT MULTICRYSTALLINE SILICON SOLAR CELLS AND COMPARISON WITH THE IBC SOLAR CELL

TWO-DIMENSIONAL MODELING OF EWT MULTICRYSTALLINE SILICON SOLAR CELLS AND COMPARISON WITH THE IBC SOLAR CELL TWO-DIMENSIONAL MODELING OF EWT MULTICRYSTALLINE SILICON SOLAR CELLS AND COMPARISON WITH THE IBC SOLAR CELL Mohamed M. Hilali, Peter Hacke, and James M. Gee Advent Solar, Inc. 8 Bradbury Drive S.E, Suite,

More information

Electronic circuit model for proton exchange membrane fuel cells

Electronic circuit model for proton exchange membrane fuel cells Journal of Power Sources 142 (2005) 238 242 Short communication Electronic circuit model for proton exchange membrane fuel cells Dachuan Yu, S. Yuvarajan Electrical and Computer Engineering Department,

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Photovoltaics under concentrated sunlight

Photovoltaics under concentrated sunlight Photovoltaics under concentrated sunlight April 2, 2013 The University of Toledo, Department of Physics and Astronomy Principles and Varieties of Solar Energy (PHYS 4400) Reading assignment: Sections 9.4

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7 EE143 Fall 2016 Microfabrication Technologies Lecture 9: Metallization Reading: Jaeger Chapter 7 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1 Interconnect 2 1 Multilevel Metallization

More information

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering

Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering Surface Passivation and Characterization of Germanium Channel Field Effect Transistor Together with Source/Drain Engineering Gaurav Thareja Nishi Group, Electrical Engineering Stanford University ERC Tele-seminar

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT ION IMPLANTATION TO ADJUST NMOS THRESHOLD VOLTAGES MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology INTRODUCTION ABSTRACT NMOS processes require a variety

More information

Vertical high voltage devices on thick SOI with back-end trench formation

Vertical high voltage devices on thick SOI with back-end trench formation Vertical high voltage devices on thick SOI with back-end trench formation U. Heinle, K. Pinardi *, and J. Olsson, Uppsala University, Sweden * Chalmers Technical University, Gothenburg, Sweden Outline

More information

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan

Yung-Hui Yeh, and Bo-Cheng Kung Display Technology Center (DTC), Industrial Technology Research Institute, Hsinchu 310, Taiwan Amorphous In 2 O 3 -Ga 2 O 3 -ZnO Thin Film Transistors and Integrated Circuits on Flexible and Colorless Polyimide Substrates Hsing-Hung Hsieh, and Chung-Chih Wu* Graduate Institute of Electronics Engineering,

More information

Characterization of Dopant Diffusion in Bulk and lower dimensional Silicon Structures. Coumba Ndoye

Characterization of Dopant Diffusion in Bulk and lower dimensional Silicon Structures. Coumba Ndoye Characterization of Dopant Diffusion in Bulk and lower dimensional Silicon Structures Coumba Ndoye Thesis submitted to the faculty of the Virginia Polytechnic Institute and State University in partial

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller MICROELECTRONIC ENGINEERING ROCHESTER INSTITUTE OF TECHNOLOGY Part 3 Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute of Technology 82

More information