Integrated Dynamic Simulation for Process Optimization and Control

Size: px
Start display at page:

Download "Integrated Dynamic Simulation for Process Optimization and Control"

Transcription

1 Interated Dynamic Simulation for Process Optimization and Control G. Brian Lu, Laura L. Tedder, Monalisa Bora, and Gary W. Rubloff SF Enineerin Research Center for Advanced Electronic Materials Process orth Carolina State University, Raleih, C Outline: Motivation Process/Equipment Analysis, Desin, and Control Simulator Structure Dynamic and Physical Approach PolySi RTCVD Simulator Structure Dynamic Characteristics Simulator Validation Sensor Sinals, Reaction Kinetics Film Deposition Applications in Process Optimization for Manufacturin and the Environment Process Cycle Time Materials Utilization Efficiency Other Manufacturin Fiures of Merit Applications in Manufacturin Education and Trainin Conclusions Acknowledments: ational Science Foundation Semiconductor Research Corporation Visual Solutions, Inc. (VisSim consultations)

2 Motivation: Both sinle-wafer and batch semiconductor processes are discrete, fairly short steps Transient / dynamic characteristics of process influence properties and reproducibility of product Finite times needed to establish or chane process conditions (e.., start and finish) Variations durin nominally steady-state process conditions Transitions between different chemical reaction reimes Dynamics may be a crucial factor in desinin efficient processes, equipment, sensor, and control systems

3 Dynamic Simulators for Sensor-Based Process Control Process Recipe action vs. time Process Equipment Equipment Simulator Process Simulator real data Sensor Sensor Simulator virtual data Comparison for Control Response Advisory Information Run-to-Run Control Real-Time Control ldynamic simulation to capture time-dependent behavior lsimulators ==> virtual sensor data lcomparison of real and virtual sensor data ==> control

4 Dynamic Simulation Applications Process/equipment desin and performance analysis Sensor sinal simulation Fault simulation and classification ew process recipe ew equipment confiuration Process extension to new parameter reimes Flexible manufacturin Manufacturin optimization Process cycle time Environmental optimization Materials utilization efficiency

5 Our Approach to Dynamic Simulation Use physically-based models wherever possible Radiative heat transfer Mass balance Boundary layer transport Surface adsorption/reaction/desorption kinetics Growth rate, film thickness Use other models elsewhere to complete the system-level description Reduced-order models to represent hih complexity (e.., reactor fluid dynamics, heat transfer) Empirical/statistical models where physics/chemistry poorly known (e.., plasma process) Time-dependent behavior of the equipment and process Real-time based functions for all input and output parameters Interation of simulators for equipment, materials/process, sensor, and control system Linked equipment/process/sensor/control simulators throuh lobal parameter variables Control system implementation (sequential event driver)

6 Schematics of Polysilicon RT-CVD Reactor heatin lamps SiH 4 Si + 2H 2 MFC 1st stae 2nd stae QMS as cylinder RTP reactor pumps pumps meterin valve RTP pumps 1.0 mm samplin aperture Gas Handlin RTP Reactor and Pumps Mass Spec Samplin

7 Windows-based RTCVD Simulator Structure Gas Handlin RTP Reactor and Pumps Mass Spec Samplin Compound Block Structure Second Level Compound Block

8 Schematics of a VisSim Block-diaram and the Correspondin Mathematical Expressions F dt A A B A out + = A A B + F A A B + F dt A A B + A B +

9 Dynamic RTCVD PolySi Simulator Process Recipe Valves, MFC s vs. time, status Lamp power vs. time Overall process timin, conditions Equipment Simulator Gas Flow Vacuum chambers Mass flow controllers Pumps, valves Conductances, volumes Partial and total presssures Pressure control system Viscous/fluid flow partial press s Heat Flow Wafer absorptivity, emissivity Wafer thermal mass Wafer radiation, conduction Wafer temperature Temperature control system Process-dependent absorptivity, emissivity Convective heat loss in fluid flow temperatures Process Simulator Sensors Sensors and and Control Control System System Sensors Total and partial pressures Temperatures Valve and MFC status Controls PID controlers for temperature and pressure Lamp power output control Throttle valve positions CVD Reaction Gas phase transport Boundary layer transport Surface-condition-dependent reaction rates - surface kinetics rates Wafer State Deposition rate Film thickness Thickness control system Product properties - uniformity, conformality, material quality, toporaphy, reliability Manufacturin FoM Simulator Manufacturin Process Efficiency Cycle time Consumables volume Enery consumption Environmental Assessment Gaseous emissions Reactant utilization Power consumption Solid waste

10 Examples of Dynamic Elements Time and history dependent film deposition: Temperature and partial pressures vary continuously throuh process Surface reaction and deposition rates vary continuously throuh process Film thickness depends on detailed process history Process-dependent absorptivity/emissivity and temperature control: Film thickness chanes durin deposition Absorptivity/emissivity chanes with film thickness Temperature variation is compensated by PID control of lamp power output PID pressure control PID throttle valve controller stablizes initial reactor pressure Surface reaction induced pressure chane is compensated by PID control of throttle valve position.

11 Go to landscape file for this fiure Film Thickness (A) Ar SiH 4 H 2 QMS Partial Pressures wafer T ( o C) rowth rate (Α/s x10) Temperature and Rate

12 Direct Output from the Simulator Process time-profile: Partial and total pressures in reactor Wafer temperature and optical properties Reaction rates Deposition rate Equipment time-profile: Valves and MFCs Lamp power Control system Sensor sinals Manufacturin Fiures of Merit Film thickness (and quality) Process cycle time and throuhput Materials consumption Power consumption Environmental Fiures of Merit Gas by-product formation Partial pressures in down-stream as Materials utilization efficiency Process mass balance

13 Comparison of PolySi RTCVD Film Thickness Between Experimental and Simulation 9000 Thickness from Simulation (A) C 640C 650C 675C 700C 725C 750C 775C 800C 850C Thickness from Experiments (Α) Simulator captures dynamic physics and chemistry well to first order Some systematic subtleties not yet represented

14 Process Optimization for Environmentally Conscious Manufacturin To identify where sinificant ains are possible To minimize experiments needed for optimal process desiin Manufacturin Fiures of Merit Film thickness (and quality) Process cycle time and throuhput Materials consumption Power consumption Environmental Fiures of Merit Materials utilization efficiency Power consumption Partial pressures in down-stream as Process mass balance

15 Dynamic Simulation for Environmental and Manufacturin Optimization RTCVD PolySi: timin and temperature dependence, 300 sccm 50 SiH 4 Utilization (%) o C 700 o C 650 o C Process Cycle Time (sec) o C 700 o C 750 o C Pressure (torr) at which heatin beins start as flow and heatin simultaneously start heatin after as flow established Hiher temperature and earlier wafer heatin benefit BOTH manufacturin and environment: hiher SiH 4 utilization shorter process cycle time Dynamic simulation permits quantitative prediction for complex behavior

16 Dynamic Simulation for Environmental and Manufacturin Optimization RTCVD PolySi: timin and flow dependence SiH 4 Utilization (%) sccm 200 sccm 300 sccm 450 sccm 600 sccm 1000 sccm Process Cycle Time (sec) sccm 200 sccm 300 sccm 450 sccm 600 sccm 1000 sccm Pressure (torr) at which heatin beins start as flow and heatin simultaneously start heatin after as flow established Lower flow rate and earlier wafer heatin: hiher SiH 4 utilization (reduced consumables cost, environmental benefit) somewhat hiher process cycle time Dynamic simulation permits quantitative prediction for complex behavior

17 Manufacturin Optimization manufacturin fiures-of-merit (FoM s) materials reliability material quality equipment CoO consumables cost environment cycle time equipment desin ramp rates control parameters as flow timin pressure temperature equipment and and process parameters and and desin desin Time-dependent physical and chemical behavior determines manufacturin fiures-of-merit (FoM s) Multiple manufacturin FoM s result from equipment, process, control choices and parameters

18 Dynamic Simulation Applications Equipment desin and performance 3-stae CIS mass spec vacuum system dynamics Process recipe desin Interpolation and extrapolation for multi-parameter process models Sensor sinal simulation Linked equipment/process/sensor simulators Virtual sensor sinals to compare with actual sinals Manufacturin optimization Process cycle time, consumables cost Environmental optimization Reactant utilization efficiency Manufacturin education and trainin Modules providin real-time, interactive operator trainin Desin for contamination control Gas impurity variation with use from liquid source Control systems behavior PID controls for temperature and pressure Fault simulation Equipment/process/sensor failure and consequences Dynamic Simulator based equipmemt/process control

19 Simulator Module and Interation for Manufacturin Trainin and Education Dynamic simulator interated with HELP files to form stand-alon simulator module Simulator modules incorporated into manufacturin education and trainin courses Simulator Module - MOCVD System Module HELP file Dynamic Simulator Dynamic Simulator HELP file Dynamic Simulator: MOCVD SYSTEM Course lectures Dynamic Simulation Exercise

20 Conclusions Crucial issues for semiconductor manufacturin demand understandin and analysis of complex, multi-variable behavior as a function of time Commercial software provides a powerful, versatile vehicle for dynamic simulation Dynamic behavior of equipment, process, sensor and control systems are replicated in real-time with physically-based models umerous important applications to manufacturin exist Equipment desin and performance Process recipe desin Sensor sinal simulation Control systems behavior Manufacturin optimization Environmental optimization Manufacturin education and trainin Fault simulation Desin for contamination control

Spatially Programmable Reactor Design: Toward a New Paradigm for Equipment Effectiveness

Spatially Programmable Reactor Design: Toward a New Paradigm for Equipment Effectiveness Spatially Programmable Reactor Design: Toward a New Paradigm for Equipment Effectiveness Y. Liu, J. Choo, L. Henn-Lecordier, G. W. Rubloff, R. A. Adomaitis Institute for Systems Research Department of

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge)

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge) Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge) PREPARED BY: You-Sheng (Wilson) Lin, Nanolab Staff 7-30-2013 Superusers: Steve Franz You-Sheng Lin Max Ho X68923 X68923

More information

Using a standard Penning Gauge as a powerful means of monitoring and feedback control

Using a standard Penning Gauge as a powerful means of monitoring and feedback control Gencoa - Dermot Monaghan Using a standard Penning Gauge as a powerful means of monitoring and feedback control Victor Bellido-González, Sarah Powell, Benoit Daniel, John Counsell, Dermot Monaghan Structure

More information

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application ABSTRACT D. A. P. Bulla and N. I. Morimoto Laboratório de Sistemas Integráveis da EPUSP São Paulo - S.P. -

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

Energy consumption and simulation of pneumatic conveying lateritic mineral in dense and fluid phase

Energy consumption and simulation of pneumatic conveying lateritic mineral in dense and fluid phase INTERNATIONAL JOURNAL OF MECHANIC Volume 11, 017 Enery consumption and simulation of pneumatic conveyin lateritic mineral in dense and fluid phase Eduardo J. Díaz, Enrique T. Tamayo, Diana M. Vélez, Dieo

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD) Lecture 8 Deposition of dielectrics and metal gate stacks (CVD, ALD) Thin Film Deposition Requirements Many films, made of many different materials are deposited during a standard CMS process. Gate Electrodes

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Design of Reliable and Low Cost Substrate Heater for Thin Film Deposition

Design of Reliable and Low Cost Substrate Heater for Thin Film Deposition International Science Index, Mechanical and Mechatronics Engineering waset.org/publication/1164 Design of Reliable and ow Cost Substrate Heater for Thin Film Deposition Abstract The substrate heater designed

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 27th IEEE International Conference on Plasma Science New Orleans, Louisiana June 4-7, 2000 SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 Da Zhang* and Mark J. Kushner** *Department

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System

Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System Performance, Reliability, and Versatility Transpector CPM Fast, Field-ready Process Monitoring System Precision measurement for modern semiconductor processes INFICON Transpector CPM has been the films.

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

Laser Produced Plasma for Production EUV Lithography

Laser Produced Plasma for Production EUV Lithography TRW / Cutting Edge Optronics Laser Produced Plasma for Production EUV Lithography EUVL Source Workshop October 29, 2001 TRW/CEO Laser-Produced Plasma (LPP) EUV Source Development and Commercialization

More information

Safety Efficiency Reliability. Energy Weight Space. Heating solutions for rail technology RAIL

Safety Efficiency Reliability. Energy Weight Space. Heating solutions for rail technology RAIL Safety Efficiency Reliability Enery Weiht Space Heatin solutions for rail technoloy RAIL 2016-11 ContentSents Heatin solutions for rail technoloy...3 WKS series heatin cable in SeamLess Technoloy...4 WOS

More information

Flow Characterization of a Piezo-Electric High Speed Valve

Flow Characterization of a Piezo-Electric High Speed Valve Flow Characterization of a Piezo-Electric High Speed Valve T. Takiya*, N. Fukuda*, M. Yaga** and M. Han*** *( Hitachi Zosen Corporation, Osaka 551-0022, Japan) ** (Department of Mechanical Systems Engineering,

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

AC Reactive Sputtering with Inverted Cylindrical Magnetrons

AC Reactive Sputtering with Inverted Cylindrical Magnetrons AC Reactive Sputtering with Inverted Cylindrical Magnetrons D.A. Glocker, Isoflux Incorporated, Rush, NY; and V.W. Lindberg and A.R. Woodard, Rochester Institute of Technology, Rochester, NY Key Words:

More information

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

Rapid Thermal Processing (RTP) Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Rapid Thermal Processing (RTP) Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

KEPCO International Nuclear Graduate School. ATLAS Facility and APR1400. A dissertation submitted in partial satisfaction of the

KEPCO International Nuclear Graduate School. ATLAS Facility and APR1400. A dissertation submitted in partial satisfaction of the KEPCO International Nuclear Graduate School A Comparative Study of DVI Line Break Accident between ATLAS Facility and APR1400 A dissertation submitted in partial satisfaction of the requirements for the

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Vacuum Equipment for TCO and AR Coatings Deposition by Reactive Magnetron Sputtering

Vacuum Equipment for TCO and AR Coatings Deposition by Reactive Magnetron Sputtering Vacuum Equipment for TCO and AR Coatings Deposition by Reactive Magnetron Sputtering E. Yadin; V. Kozlov; E. Machevskis, Sidrabe, Inc., 17 Krustpils str.,riga, LV1073, Latvia. Tel: +371 7249806, Fax: +371

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage:

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Email:

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond Hitachi Review Vol. 55 (2006), No. 2 83 Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond Takashi Tsutsumi Masanori Kadotani Go Saito Masahito Mori OVERVIEW: In regard to

More information

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson Alternative Methods of Yttria Deposition For Semiconductor Applications Rajan Bamola Paul Robinson Origin of Productivity Losses in Etch Process Aggressive corrosive/erosive plasma used for etch Corrosion/erosion

More information

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS Pioneering ALD experience since 1974 The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS SUNALE P-SERIES ALD SYSTEMS PRODUCT CATALOGUE Description SUNALE P-series ALD system SUNALE P-series

More information

Atomic Oxygen-Resistant, Static-Dissipative, Pinhole-Free Coatings for Spacecraft

Atomic Oxygen-Resistant, Static-Dissipative, Pinhole-Free Coatings for Spacecraft Physical Sciences Inc. VG10-109 Atomic Oxygen-Resistant, Static-Dissipative, Pinhole-Free Coatings for Spacecraft Michelle T. Schulberg, Robert H. Krech, Frederick S. Lauten Physical Sciences Inc. Roy

More information

Investigation of Pumped Storage Hydro Electricity

Investigation of Pumped Storage Hydro Electricity International Conference on Machine Learnin, Electrical and Mechanical Enineerin (ICMLEME'04) Jan. 8-9, 04 Dubai (UE) Investiation of Pumped Storae Hydro Electricity rsalan F. Latif, and Soorkeu. trooshi

More information

Safety Efficiency Reliability. Energy Weight Space. Heating solutions for rail technology RAIL

Safety Efficiency Reliability. Energy Weight Space. Heating solutions for rail technology RAIL Safety Efficiency Reliability Enery Weiht Space Heatin solutions for rail technoloy 2012-09 CONTENTS 1. Heatin solutions for rail technoloy... 3 2. Heatin cable in SeamLess Technoloy series WKS... 4 3.

More information

Technical Report. Hawaii. Renewable Portfolio Standards Study

Technical Report. Hawaii. Renewable Portfolio Standards Study Technical Report Hawaii Renewable Portfolio Standards Study Prepared for: Hawaii Natural Enery Institute Prepared by: GE Enery Consultin Date: May, 2015 Foreword This report was prepared by General Electric

More information

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao Report 1 A. Overview The goal of this lab is to go through the semiconductor fabrication process from start to finish. This

More information

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm) 4 Silicon Temperature Sensors 4.1 Introduction The KTY temperature sensor developed by Infineon Technologies is based on the principle of the Spreading Resistance. The expression Spreading Resistance derives

More information

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Jhon F. Londoño, Kurt E. Williams, Adrian J. Devasahayam Veeco Instruments Inc. Plainview, New York U.S.A Figure

More information

Modeling of Transport Phenomena in Metal Foaming

Modeling of Transport Phenomena in Metal Foaming Modelin of Transport Phenomena in Metal Foamin B. Chinè *1,3, M. Monno 2,3 1 Instituto Tecnolòico de Costa Rica, Cartao, Costa Rica, 2 Politecnico di Milano, Dipartimento di Meccanica, Milano, Italy, 3

More information

SCS Parylene Deposition Uniformity

SCS Parylene Deposition Uniformity SCS Parylene Deposition Uniformity Roger Robbins 1/5/2011 The University of Texas at Dallas ERIK JONSSON SCHOOL OF ENGINEERING AUTHORS: Roger Robbins Page 1 of 18 SCS Parylene Deposition Uniformity Roger

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

Chapter 2 Additive Processes for Semiconductors and Dielectric Materials

Chapter 2 Additive Processes for Semiconductors and Dielectric Materials Chapter 2 Additive Processes for Semiconductors and Dielectric Materials Christian A. Zorman, Robert C. Roberts, and Li Chen Abstract This chapter presents an overview of the key methods and process recipes

More information

X-ray Photoelectron Spectroscopy

X-ray Photoelectron Spectroscopy X-ray Photoelectron Spectroscopy X-ray photoelectron spectroscopy (XPS) is a non-destructive technique used to analyze the elemental compositions, chemical and electronic states of materials. XPS has a

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Marvell NanoLab Summer Internship 2011

Marvell NanoLab Summer Internship 2011 Marvell NanoLab Summer Internship 2011 UC Berkeley BY KATE O BRIEN TAMALPAIS HIGH SCHOOL What brought me here? A: My school interests; Math & Science and A father with an Engineering degree My next logical

More information

How can MOCVD enable production of cost efficient HB LED's

How can MOCVD enable production of cost efficient HB LED's How can MOCVD enable production of cost efficient HB LED's Dr. Frank Schulte AIXTRON SE Company and Market Market requests and challenges Answer from the technology Conclusion P 2 Confidential Proprietary

More information

Optical Emission Spectroscopy for Plasma Etch Endpoint Detection

Optical Emission Spectroscopy for Plasma Etch Endpoint Detection Optical Emission Spectroscopy for Plasma Etch Endpoint Detection Keith R. Miller Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract- Optical Emission Spectroscopy

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Chemraz (FFKM) Overview

Chemraz (FFKM) Overview (FFKM) Overview 505 Energy Midstream Coupling Broad chemical compatibility for use with a wide range of harsh solutions Lower compression set increases ability to handle temp and pressure variations, shaft

More information

Batch Annealing Model for Cold Rolled Coils and Its Application

Batch Annealing Model for Cold Rolled Coils and Its Application China Steel Technical Report, No. 28, pp.13-20, (2015) Chun-Jen Fang and Li-Wen Wu 13 Batch Annealing Model for Cold Rolled Coils and Its Application CHUN-JEN FANG and LI-WEN WU New Materials Research

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre Energy Efficient Glazing Design John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre 2 John Ridealgh 30th November 2009 Talk Outline Pilkington Group Limited & NSG Group

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE AC 2011-2416: MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE Mustafa G. Guvench, University of Southern Maine Mustafa G. Guvench received M.S.

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Thermally operated mobile air-conditioning system

Thermally operated mobile air-conditioning system Thermally operated mobile air-conditioning system Development and test of a laboratory prototype R. de Boer S.F. Smeding Paper to be presented on the International Sorption Heat Pump Conference 2008, Seoul,

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

FLOW & HEAT TRANSFER IN A PACKED BED - TRANSIENT

FLOW & HEAT TRANSFER IN A PACKED BED - TRANSIENT FLOW & HEAT TRANSFER IN A PACKED BED - TRANSIENT This case study demonstrates the transient simulation of the heat transfer through a packed bed with no forced convection. This case study is applicable

More information

A Review of Suitability for PWHT Exemption Requirements in the Aspect of Residual Stresses and Microstructures

A Review of Suitability for PWHT Exemption Requirements in the Aspect of Residual Stresses and Microstructures Transactions, SMiRT-23 Division IX, Paper ID 612 (inc. assigned division number from I to X) A Review of Suitability for PWHT Exemption Requirements in the Aspect of Residual Stresses and Microstructures

More information

PROJECT PROPOSAL: OPTIMIZATION OF A TUNGSTEN CVD PROCESS

PROJECT PROPOSAL: OPTIMIZATION OF A TUNGSTEN CVD PROCESS PROJECT PROPOSAL: OPTIMIZATION OF A TUNGSTEN CVD PROCESS Heather Brown and Brian Hesse IEE 572 December 5, 2000 The tungsten chemical vapor deposition (WCVD) process has been used in the semiconductor

More information

Effect of Growth Process on Polycrystalline Silicon Solar Cells Efficiency.

Effect of Growth Process on Polycrystalline Silicon Solar Cells Efficiency. Effect of Growth Process on Polycrystalline Silicon Solar Cells Efficiency. ZOHRA BENMOHAMED, MOHAMED REMRAM* Electronic department university of Guelma Département d Electronique Université mai 195 BP

More information

Study on Circulating Aquaculture Water Treatment Based on Micro-electrolysis

Study on Circulating Aquaculture Water Treatment Based on Micro-electrolysis 145 A publication of CHEMICA ENGINEERINGTRANSACTIONS VO. 55, 216 Guest Editors:Tichun Wan, Honyan Zhan, ei Tian Copyriht 216, AIDIC Servizi S.r.l., ISBN978-88-9568-46-4; ISSN 2283-9216 The Italian Association

More information

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods Y. Kim Professor, Department of Materials Science and Engineering, College of Engineering, Kyonggi

More information

Modeling of SiC single crystal growth in PVT reactor

Modeling of SiC single crystal growth in PVT reactor Modeling of SiC single crystal growth in PVT reactor T. Wejrzanowski, J. Dagiel, M. Grybczuk, J. Niescior, K.J. Kurzydlowski Faculty of Materials Science and Engineering Warsaw University of Technology

More information

Power Technologies Pervasive & Enabling

Power Technologies Pervasive & Enabling Thermal Management Challenges of Military Electronics Mark S. Spector, Ph.D. Office of Naval Research Ships and Engineering Systems Division, Code 331 Phone: 703-696-4449 E-mail: spectom@onr.navy.mil NSF

More information

Preface... VII ForewordbyDr.FranzAlt... IX Foreword by Dr. Hermann Scheer... XIII Table of Contents... XV. 1.3 Global warming by CO

Preface... VII ForewordbyDr.FranzAlt... IX Foreword by Dr. Hermann Scheer... XIII Table of Contents... XV. 1.3 Global warming by CO Contents Preface... VII ForewordbyDr.FranzAlt... IX Foreword by Dr. Hermann Scheer... XIII Table of Contents.... XV 1 Introduction...1 1.1 World s Energy Consumption...1 1. CO -Emissions by Humankind...

More information

THERMAL ANALYSIS OF COOLING EFFECT ON GAS TURBINE BLADE

THERMAL ANALYSIS OF COOLING EFFECT ON GAS TURBINE BLADE IJRET: International Journal of Research in Enineerin and Technoloy eissn: 2319-1163 pissn: 2321-7308 THERMAL ANALYSIS OF COOLING EFFECT ON GAS TURBINE BLADE Amjed Ahmed Jasim AL-Luhaibi 1, Mohammad Tariq

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

Poly-SiGe MEMS actuators for adaptive optics

Poly-SiGe MEMS actuators for adaptive optics Poly-SiGe MEMS actuators for adaptive optics Blake C.-Y. Lin a,b, Tsu-Jae King a, and Richard S. Muller a,b a Department of Electrical Engineering and Computer Sciences, b Berkeley Sensor and Actuator

More information

Numerical Modelling of Air Distribution in the Natatorium Supported by the Experiment

Numerical Modelling of Air Distribution in the Natatorium Supported by the Experiment Proceedings of the World Congress on Mechanical, Chemical, and Material Engineering (MCM 2015) Barcelona, Spain July 20-21, 2015 Paper No. 277 Numerical Modelling of Air Distribution in the Natatorium

More information

LAM 490 Etch Recipes. Dr. Lynn Fuller

LAM 490 Etch Recipes. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM 490 Etch Recipes Dr. Lynn Fuller Professor, Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

Fabrication of CdTe thin films by close space sublimation

Fabrication of CdTe thin films by close space sublimation Loughborough University Institutional Repository Fabrication of CdTe thin films by close space sublimation This item was submitted to Loughborough University's Institutional Repository by the/an author.

More information

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge R. Chanson a, E. Pargon a, M. Darnon a, C. Petit Etienne a, S. David a, M. Fouchier a, B. Glueck b, P. Brianceau

More information

Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures

Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures Available online at www.sciencedirect.com Diamond & Related Materials 17 (2008) 481 485 www.elsevier.com/locate/diamond Boron doped diamond deposited by microwave plasma-assisted CVD at low and high pressures

More information

Innovative Laser Processing Technologies

Innovative Laser Processing Technologies Innovative Laser Processing Technologies Reinhard Ferstl Director Sales & Marketing EMEA / Asia Corning Laser Technologies September 21, 2016 2016 Corning Incorporated Corning Market Segments and Additional

More information

PFC Emissions Monitoring by FT-IR in LCD Manufacturing Processes. MIDAC Corporation

PFC Emissions Monitoring by FT-IR in LCD Manufacturing Processes. MIDAC Corporation PFC Emissions Monitoring by FT-IR in LCD Manufacturing Processes MIDAC Corporation Overview FT-IR monitoring to reduce PFC emissions in Semiconductor Facilities Applying FT-IR Monitoring to LCD manufacturing

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by

enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by www.cvdequipment.com Equipment Design, Engineering, and Manufacturing Thin film deposition systems for industrial

More information

Trends in Device Encapsulation and Wafer Bonding

Trends in Device Encapsulation and Wafer Bonding Trends in Device Encapsulation and Wafer Bonding Roland Weinhäupl, Sales Manager, EV Group Outline Introduction Vacuum Encapsulation Metal Bonding Overview Conclusion Quick Introduction to EV Group st

More information

Solar Electric Power Generation - Photovoltaic Energy Systems

Solar Electric Power Generation - Photovoltaic Energy Systems Stefan C.W. Krauter Solar Electric Power Generation - Photovoltaic Energy Systems Modeling of Optical and Thermal Performance, Electrical Yield, Energy Balance, Effect on Reduction of Greenhouse Gas Emissions

More information

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM EOSS ENHANCED OPTICAL SPUTTERING SYSTEM Fraunhofer IST, Braunschweig Contact: Dr. M. Vergöhl +49 531 2155 640 EOSS Coating System

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Amorphous Silicon Solar Cells

Amorphous Silicon Solar Cells The Birnie Group solar class and website were created with much-appreciated support from the NSF CRCD Program under grants 0203504 and 0509886. Continuing Support from the McLaren Endowment is also greatly

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

Using a Hybrid Approach to Evaluate Semiconductor Life Cycle Environmental Issues

Using a Hybrid Approach to Evaluate Semiconductor Life Cycle Environmental Issues Using a Hybrid Approach to Evaluate Semiconductor Life Cycle Environmental Issues A Case Study in Interconnect Module Impacts Krishnan, N., Boyd S., Rosales J., Dornfeld D. Mechanical Engineering University

More information

THERMAL PERFORMANCE TESTING

THERMAL PERFORMANCE TESTING THERMAL PERFORMANCE TESTING material. Commonly used materials, such as spray-on foam insulation, or SOFI, for vehicle tanks FIGURE 1. Cryogenic insulation test apparatus for cylindrical specimens with

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

The study on the control strategy of micro grid considering the economy of energy storage operation

The study on the control strategy of micro grid considering the economy of energy storage operation The study on the control stratey of micro rid considerin the economy of enery storae operation Zhiwei Ma, Yiqun Liu, Xin Wan, Bei Li, and Min Zen Citation: AIP Conference Proceedins 1864, 020005 (2017);

More information

Liquid Optically Clear Adhesive for Display Applications

Liquid Optically Clear Adhesive for Display Applications Liquid Optically Clear Adhesive for Display Applications Daniel Lu, PhD Technical Director Henkel Corporation LOCTITE Liquid Optically Clear Adhesives (LOCA) Cover lens LOCA Touch sensor LOCA LCD 2 LOCA

More information

Recap of a-si and a-si cell technology Types of a-si manufacturing systems a-si cell and module manufacturing at Xunlight. Xunlight Corporation

Recap of a-si and a-si cell technology Types of a-si manufacturing systems a-si cell and module manufacturing at Xunlight. Xunlight Corporation Thin-Film Silicon Technology and Manufacturing Recap of a-si and a-si cell technology Types of a-si manufacturing systems a-si cell and module manufacturing at Xunlight Xunlight products and installations

More information

Applications of the constrained Gibbs energy method in modelling thermal biomass conversion.

Applications of the constrained Gibbs energy method in modelling thermal biomass conversion. VTT TECHNICAL RESEARCH CENTRE OF FINLAND LTD Applications of the constrained Gibbs energy method in modelling thermal biomass conversion GTT-Technologies' 7th Annual Users' Meeting, Herzogenrath, Germany,

More information

A MODEL FOR RESIDUAL STRESS AND PART WARPAGE PREDICTION IN MATERIAL EXTRUSION WITH APPLICATION TO POLYPROPYLENE. Atlanta, GA 30332

A MODEL FOR RESIDUAL STRESS AND PART WARPAGE PREDICTION IN MATERIAL EXTRUSION WITH APPLICATION TO POLYPROPYLENE. Atlanta, GA 30332 Solid Freeform Fabrication 2016: Proceedings of the 26th 27th Annual International Solid Freeform Fabrication Symposium An Additive Manufacturing Conference A MODEL FOR RESIDUAL STRESS AND PART WARPAGE

More information