Novel Reactor Design and Metrology Study for Tungsten ALD process Laurent Henn-Lecordier, Wei Lei, Gary W. Rubloff

Size: px
Start display at page:

Download "Novel Reactor Design and Metrology Study for Tungsten ALD process Laurent Henn-Lecordier, Wei Lei, Gary W. Rubloff"

Transcription

1 Novel Reactor Design and Metrology Study for Tungsten ALD process Laurent Henn-Lecordier, Wei Lei, Gary W. Rubloff Department of Materials Science and Engineering University of Maryland

2 OUTLINE Design of novel ALD reactor Motivations for new reactor design Our approach 2 ALD operational modes Integration of real-time mass-spectrometry Nucleation kinetics revealed in real-time by MS First wafer effect : understanding effects on film thickness metrology of competing wall and wafer surface reactions AVS talk, Wed. Nov. 2 nd (TF+EM-WeM3) MS-based real-time sensing in ALD processes 2

3 2 main approaches for ALD reactor designs Tube furnace (University approach) Substrate-heated production-scale tool (manufacturing approach) Low cost Reliability (simplicity of design) Fast cycle times QCM integration Small wafer size (1-2 ) High wall to wafer surface ratio Hot walls => wall reactions Contamination issue Low wafer throughput if no load lock Large wafers (up to 300 mm) Cluster tool integration High throughput Low contamination Automation Small wall to wafer surface ratio Cold wall High cost Not compatible with QCM F120 SAT SAM Microchemistry 3

4 Embedded mini-reactor concept Z-axis pneumatic actuator UHV chamber 10-5 torr Moveable cap 5 torr 100 mm wafer Substrate heater Differentially pumped MS 35 µm orifice Gas Outlet Gas Inlet ALD mini- reactor 4

5 Benefits of embedded mini-reactor concept 100 mm substrate heater 0.2 L reactor volume Wafer scale Low wall/wafer ratio Fast cycling time Low contamination In-situ diagnostic High wafer throughput Low cost Load-lock On-the-shelf UHV components Mass-spectrometry 5

6 Multiple Operation Modes Dynamic mode: steady-state flow with alternating gas species Exposure Purge 10-5 Torr Throttle Valve 10-5 Torr Reactant + carrier gas 5 torr carrier gas 5 torr Small reactor volume Viscous flow condition Short gas residence time Fast gas switching 6

7 Multiple Operation Modes Static mode: Fill-and-pumpout cycling of each gas Precursor A exposure Purge 10-5 Torr Gas inlet 5 torr Purge Precursor B exposure 5 torr Pumpout is accelerated by lifting the cap to employ the larger vacuum chamber as ballast 7

8 Real-time MS Process Sensing ALD process dynamics are directly observed by in-situ mass spectrometry 1.2x CYCLES x10-10 Intensity (A) 8.0x x x x10-11 SiH 4 exposure 1 CYCLE Purge WF 6 exposure Purge 2.0x :59:56 14:01:22 14:02:48 14:04:14 14:05:40 14:07:06 14:08:32 Time Precursors: WF 6, SiH 4 SiH 4 exposure H 2 product WF 6 exposure SiF 4 product MS response time: < 1 sec Intensity (A) 5.0x x x x x10-11 H 2 SiF 4 SiH 4 SiF Time (Sec) 8

9 Real-time Observation of Deposition Kinetics 4.0x10-10 Nucleation regime Linear growth regime 1.2x10-9 Nucleation regime Linear growth regime Integrated SiF 4 Signal Per Cycle 3.5x x x x x x x SiF 4 by-product Integrated H 2 MS Signal Per Cycle 1.0x x x x x H 2 by-product Deposition kinetics can be directly observed by integrating byproduct MS signal over each cycle and plotting it against cycle number 9

10 Effect of temperature on nucleation kinetics High process temperature accelerates the nucleation process Integrated H 2 Mass Spec Signal Per Cycle 2.5x x x x x C 250 C 175 C H 2 during SiH 4 half cycle

11 Real-time identification of nucleation kinetics for different wafer preparations Nucleation region for HF-last treated surface Nucleation region for H 2 O-last treated surface Integrated SiF 4 MS Signal Per Cycle 6.4x x x x x x x x HF Treated Surface H 2 O Treated Surface

12 Characterization of first wafer effect 1.2x nd wafer Gas Inlet Gas Outlet QMS sampling Integrated H 2 Signal Per Cycle 9.0x x x st wafer H 2 during SiH 4 ½cycle Integrated byproduct MS signal starts at much higher level for non-1 st wafer cases than 1 st wafer case 2. Integrated byproduct MS signal tends to the same level in linear growth region for both 1 st wafer and non-1 st wafer cases 12

13 Physically based model to understand 1 st wafer effect Nucleation occurs on wafer as well on walls Integrated byproduct MS signal per cycle Integrated byproduct MS signal per cycle Reaction on reactor surface Reaction on wafer surface a b 1 st wafer case Integrated byproduct MS signal per cycle Total reaction detected by MS a+b 13

14 Effect of wall conditioning on in-situ chemical diagnostic Integrated byproduct MS signal per cycle Integrated byproduct MS signal per cycle Reaction on reactor surface Reaction on wafer surface a b Non-1 st wafer cases Integrated byproduct MS signal per cycle Total reaction detected by MS For non-1 st wafers, nucleation occurs only on clean wafer surface and not on preconditioned wall surfaces b a 14

15 Real-time Film Thickness Metrology Linear correlation between total integrated byproduct MS signal and ALD film thickness 1 st wafer effect will make the 1 st wafer data deviate from the linear relationship With proper pre-process chamber treatment, 1 st wafer effect can be reduced W Film Thickness (nm) 35 (325 C) (275 C) (225 C) 1st wfr (175 C) (175 C) x x x x x10-8 Sum of Integrated SiF 4 Signal W Film Thickness (nm) (275 C) st wfr (275 C) x x x10-8 Sum of Integrated SiF 4 Signal (325 C) Without pre-process chamber treatment With pre-process chamber treatment 15

16 Conclusion 1. A novel wafer-scale ALD system has been implemented by embedding a mini-ald reactor (0.2L) inside a UHV chamber 2. Integration of in-situ mass spectrometry to ALD reactor has been optimized to achieve real-time process sensing 3. Deposition kinetics are observed in real-time using integrated MS signal data, but chamber history effect poses a challenge on the interpretation of MS results 4. The 1 st wafer data can not be used for ALD film metrology because of chamber history effects, and pre-process chamber treatment can help to reduce the effect of chamber history 16

In-situ Monitoring of Atomic Layer Deposition Processes

In-situ Monitoring of Atomic Layer Deposition Processes Faculty of Electrical and Computer Engineering - Institute of Semiconductors and Microsystems NanoZEIT seminar @ SEMICON 2011 Deposition Processes M. Knaut*, M. Junige, M. Geidel, M. Albert, and J. W.

More information

ALD systems and SENTECH Instruments GmbH

ALD systems and SENTECH Instruments GmbH ALD systems and processes @ SENTECH Instruments GmbH H. Gargouri, F. Naumann, R. Rudolph and M. Arens SENTECH Instruments GmbH, Berlin www.sentech.de 1 2 Agenda 1. Company Introduction 2. SENTECH-ALD-Systems

More information

Monitoring and control of binary gas mixtures from solid phase MOCVD sources using an acoustic sensor

Monitoring and control of binary gas mixtures from solid phase MOCVD sources using an acoustic sensor Monitoring and control of binary gas mixtures from solid phase MOCVD sources using an acoustic sensor L. Henn-Lecordier, G. Rubloff, J. Kidder Institute for Systems Research and Department of Materials

More information

Spatially Programmable Reactor Design: Toward a New Paradigm for Equipment Effectiveness

Spatially Programmable Reactor Design: Toward a New Paradigm for Equipment Effectiveness Spatially Programmable Reactor Design: Toward a New Paradigm for Equipment Effectiveness Y. Liu, J. Choo, L. Henn-Lecordier, G. W. Rubloff, R. A. Adomaitis Institute for Systems Research Department of

More information

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge)

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge) Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge) PREPARED BY: You-Sheng (Wilson) Lin, Nanolab Staff 7-30-2013 Superusers: Steve Franz You-Sheng Lin Max Ho X68923 X68923

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS Simple and cost-effective introduction of PERC technology into the mass production of solar cells Kerstin Strauch, Florian Schwarz, Sebastian Gatz 1 Introduction

More information

High Rate Growth of SiO 2 by Thermal ALD Using Tris(dimethylamino)silane

High Rate Growth of SiO 2 by Thermal ALD Using Tris(dimethylamino)silane High Rate Growth of SiO 2 by Thermal ALD Using Tris(dimethylamino)silane and Ozone Guo Liu, Ritwik Bhatia, Eric W. Deguns, Mark J. Dalberth, Mark J. Sowa, Adam Bertuch, Laurent Lecordier, Ganesh Sundaram,

More information

Integrated Dynamic Simulation for Process Optimization and Control

Integrated Dynamic Simulation for Process Optimization and Control Interated Dynamic Simulation for Process Optimization and Control G. Brian Lu, Laura L. Tedder, Monalisa Bora, and Gary W. Rubloff SF Enineerin Research Center for Advanced Electronic Materials Process

More information

Atomic Layer Deposition (ALD)

Atomic Layer Deposition (ALD) Atomic Layer Deposition (ALD) ALD provides Uniform, controlled, conformal deposition of oxide, nitride, and metal thin films on a nanometer scale. ALD is a self limiting thin film deposition technique

More information

Supplementary Figure 1 In situ QCM measurements of Pd ALD on different oxide

Supplementary Figure 1 In situ QCM measurements of Pd ALD on different oxide Supplementary Figure 1 In situ QCM measurements of Pd ALD on different oxide surfaces using either HCHO or H 2 as reducing agent at 150 ºC. (a) On Al 2 O 3 ; (b) on ZrO 2 ; (c), on TiO 2. See Supplementary

More information

CORIAL D500. Large capacity batch system for 24/7 production environment

CORIAL D500. Large capacity batch system for 24/7 production environment CORIAL D500 Large capacity batch system for 24/7 production environment High-quality films for a wide range of materials, incl. SiO2, Si3N4, SiOCH, SiOF, SiC and asi-h films Film deposition from 120 C

More information

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS

Pioneering ALD experience since The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS Pioneering ALD experience since 1974 The ALD Powerhouse PRODUCT CATALOGUE FOR SUNALE P-SERIES ALD SYSTEMS SUNALE P-SERIES ALD SYSTEMS PRODUCT CATALOGUE Description SUNALE P-series ALD system SUNALE P-series

More information

Roll-to-Roll ALD Deposition of Al 2 O 3 Barrier Layers on PET

Roll-to-Roll ALD Deposition of Al 2 O 3 Barrier Layers on PET Roll-to-Roll ALD Deposition of Al 2 O 3 Barrier Layers on PET W. A. Barrow and E. R. Dickey Lotus Applied Technology AIMCAL Fall Technical Conference 2009 Amelia Island Plantation, Florida 20-Oct-2009

More information

Corial D500 No mechanical cleaning

Corial D500 No mechanical cleaning Corial D500 No mechanical cleaning Large capacity batch system for 24/7 production environment High-quality films for a wide range of materials, incl. SiO2, Si3N4, SiOCH, SiOF, SiC and asi-h films Film

More information

Lecture 8 Chemical Vapor Deposition (CVD)

Lecture 8 Chemical Vapor Deposition (CVD) Lecture 8 Chemical Vapor Deposition (CVD) Chapter 5 & 6 Wolf and Tauber 1/88 Announcements Homework: Homework Number 2 is due on Thursday (19 th October). Homework will be returned one week later Thursday

More information

Non-contractual document, specifications subject to change without notice.

Non-contractual document, specifications subject to change without notice. 1 ANNEALSYS designs and manufactures Rapid Thermal Processing (RTA, RTCVD) Direct Liquid Injection (DLI-CVD, DLI-ALD) systems for research laboratories and companies for semiconductor, MEMS, nanotechnologies,

More information

CFD Analysis for Production of Carbon Nanotubes

CFD Analysis for Production of Carbon Nanotubes International Journal of Current Engineering and Technology ISSN 2277-4106 2014 INPRESSCO. All Rights Reserved. Available at http://inpressco.com/category/ijcet Research Article CFD Analysis for Production

More information

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL Atomic Layer Deposition process solutions using FlexAL and OpAL Introduction to Self limiting digital growth Atomic Layer Deposition () offers precisely controlled ultra-thin films for advanced applications

More information

Si, SiGe and Ge Epitaxial CVD Systems

Si, SiGe and Ge Epitaxial CVD Systems Si, SiGe and Ge Epitaxial CVD Systems To Whom It May Concern This is an RFQ (Request for Quote) document for procurement of an epitaxial CVD system along with attachments as part of a tender for the Centre

More information

Kinetics of Silicon Oxidation in a Rapid Thermal Processor

Kinetics of Silicon Oxidation in a Rapid Thermal Processor Kinetics of Silicon Oxidation in a Rapid Thermal Processor Asad M. Haider, Ph.D. Texas Instruments Dallas, Texas USA Presentation at the National Center of Physics International Spring Week 2010 Islamabad

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 10 Oxidation 2001 2000 by Prentice Hall Diffusion Area of Wafer Fabrication Wafer fabrication (front-end)

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

TECHNICAL SPECIFICATIONS

TECHNICAL SPECIFICATIONS TECHNICAL SPECIFICATIONS FOR THE SUPPLY OF A PECVD by LIQUID SOURCE PRECURSOR FOR SCUOLA SUPERIORE SANT ANNA ALLEGATO A LOTTO 1 PROCEDURA APERTA IN LOTTI PER LA FORNITURA DI APPARECCHIATURE SCIENTIFICHE

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat 1.1149/1.2727414, The Electrochemical Society A New Liquid Precursor for Pure Ruthenium Depositions J. Gatineau, C. Dussarrat Air Liquide Laboratories, Wadai 28, Tsukuba city, Ibaraki Prefecture, 3-4247,

More information

Influence of the oxide thickness on the magnetic properties of Fe/ FeO multilayers.

Influence of the oxide thickness on the magnetic properties of Fe/ FeO multilayers. DESY Summer Student Program Influence of the oxide thickness on the magnetic properties of Fe/ FeO multilayers. Student: Guryeva Tatyana Supervisors: Ralf Röhlsberger Kai Schlage Sebastien Couet Hamburg,

More information

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ WͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ WͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z The ALD Powerhouse Picosun Defining the future of ALD Picosun s history and background date back to the very beginning of the field of atomic layer deposition. ALD was invented in Finland in 1974 by Dr.

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

Oxidation SMT Yau - 1

Oxidation SMT Yau - 1 Oxidation Yau - 1 Objectives After studying the material in this chapter, you will be able to: 1. Describe an oxide film for semiconductor manufacturing, including its atomic structure, how it is used

More information

BAK. Evaporator Family

BAK. Evaporator Family BAK Evaporator Family The BAK A new generation Taking the best from the past, expanding your horizons for the future! More than 1500 systems worldwide 2 BAK Evaporator Family more choice than ever Welcome

More information

Energy and resource savings in Subfab

Energy and resource savings in Subfab Energy and resource savings in Subfab Andreas Neuber, Kent Lee Hsinchu, Dec. 4, 2015 Content ITRS benchmarks Energy consumption Focus areas Subfab Best Practices Future Outlook 2 ITRS Facilities Technology

More information

Corial PS200 4-sided multi-module platform

Corial PS200 4-sided multi-module platform Corial PS200 4-sided multi-module platform Single wafer platform equipped with 200 mm modules Integration of ICP-CVD or PECVD process chambers Fully automated platform with cassette-to-cassette handler

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Performance Improvement on Water-cooled Cold-Plate

Performance Improvement on Water-cooled Cold-Plate Proceedings of the 4th WSEAS International Conference on Heat and Mass Transfer, Gold Coast, Queensland, Australia, January 17-19, 2007 104 Performance Improvement on Water-cooled Cold-Plate SHYAN-FU CHOU,

More information

BAK EVAPORATOR FAMILY

BAK EVAPORATOR FAMILY BAK EVAPORATOR FAMILY THE BAK A NEW GENERATION TAKING THE BEST FROM THE PAST, EXPANDING YOUR HORIZONS FOR THE FUTURE! The BAV 2000 - a production giant 2000 BAK SYSTEMS DELIVERED WORLDWIDE 2 BAK EVAPORATOR

More information

BAK EVAPORATOR FAMILY

BAK EVAPORATOR FAMILY BAK EVAPORATOR FAMILY THE BAK A NEW GENERATION TAKING THE BEST FROM THE PAST, EXPANDING YOUR HORIZONS FOR THE FUTURE! The BAV 2000 - a production giant 2000 BAK SYSTEMS DELIVERED WORLDWIDE 2 BAK EVAPORATOR

More information

Company Overview JDA. JDA with Hynix. JDA with SAMSUNG. Engineering Manpower over 80% R&D Key Member Name Position Major Career

Company Overview JDA. JDA with Hynix. JDA with SAMSUNG. Engineering Manpower over 80% R&D Key Member Name Position Major Career Company Overview Company name Eugene Technology Co., Ltd. Established 05 JAN, 2000 CEO Pyung Yong Um Capital / MRKT CAP \6.14B / \280.6B (as of May 12 th ) Address Main Products Home Page 209-3 Chugeri,

More information

CHAPTER 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES

CHAPTER 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES Thermodynamics: An Engineering Approach 8th Edition in SI Units Yunus A. Ç engel, Michael A. Boles McGraw-Hill, 2015 CHAPTER 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES Objectives Develop the conservation

More information

is kept at a minimum with low power densities of less than 50 mw/cm 2.

is kept at a minimum with low power densities of less than 50 mw/cm 2. Production Performance Success with a High Throughput PECVD System David Lishan 1, Ken Mackenzie 1, Mike Fresina 2, Doug Wend 2, John Erickson 2, and Dave Johnson 1 1 Unaxis Wafer Processing, St. Petersburg,

More information

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin Dr. Frank Schmidt The Company Company Private company, founded 1990 80 employees ISO 9001 Location Science & Technology Park,

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

SCS Parylene Deposition Uniformity

SCS Parylene Deposition Uniformity SCS Parylene Deposition Uniformity Roger Robbins 1/5/2011 The University of Texas at Dallas ERIK JONSSON SCHOOL OF ENGINEERING AUTHORS: Roger Robbins Page 1 of 18 SCS Parylene Deposition Uniformity Roger

More information

Dekati Sample Conditioning Instruments

Dekati Sample Conditioning Instruments Dekati Sample Conditioning Instruments Dekati Cyclones Dekati Diluter Dekati Axial Diluter -DAD FPS-4000 Fine Particle Sampler DEED Dekati Engine Exhaust Diluter Dekati Thermodenuder DD-600 Dekati Dryer

More information

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh Nucleation and growth of nanostructures and films Seongshik (Sean) Oh Outline Introduction and Overview 1. Thermodynamics and Kinetics of thin film growth 2. Defects in films 3. Amorphous, Polycrystalline

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage:

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Email:

More information

Chapter 2 Additive Processes for Semiconductors and Dielectric Materials

Chapter 2 Additive Processes for Semiconductors and Dielectric Materials Chapter 2 Additive Processes for Semiconductors and Dielectric Materials Christian A. Zorman, Robert C. Roberts, and Li Chen Abstract This chapter presents an overview of the key methods and process recipes

More information

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing Pulsed Nucleation Layer of Tungsten Nitride arrier Film and its Application in DRAM and Logic Manufacturing Kaihan Ashtiani, Josh Collins, Juwen Gao, Xinye Liu, Karl Levy Novellus Systems, Inc. 4 N. First

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

Extending product lifetime with ALD moisture barrier

Extending product lifetime with ALD moisture barrier Whitepaper Extending product lifetime with ALD moisture barrier 01 executive summary Atomic Layer Deposition (ALD) is a thin film technology that enables new and highly competitive products. Typical applications

More information

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel

More information

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects 2012-04-11 SYMPOSIUM C 11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects Jing Yang 1, Harish B. Bhandari 1,

More information

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film layer at the surface Typically gas phase reactions

More information

Device Fabrication: CVD and Dielectric Thin Film

Device Fabrication: CVD and Dielectric Thin Film Device Fabrication: CVD and Dielectric Thin Film 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Aerogel Structures for Photocathodes

Aerogel Structures for Photocathodes Aerogel Structures for Photocathodes Michael Pellin Argonne Distinguished Fellow Director, Materials Science Division Thomas Prolier, Jeff Elam, Alex Martinson Stacey Standridge (NU), Joe Hupp (NU) 1st

More information

Low-Cost 2G HTS Coated Conductor Scale-Up at STI

Low-Cost 2G HTS Coated Conductor Scale-Up at STI Low-Cost 2G HTS Coated Conductor Scale-Up at STI Jeong-Uk Huh, Jian Cao, Xiaofeng Qiu, Joseph Chase, Ken Pfeiffer STI Nexans University of Victoria Cambridge University Applied Superconductivity Conference

More information

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: Chapter 4 1 CHAPTER 4: Oxidation Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: 1. mask against implant or diffusion of dopant into silicon 2. surface passivation

More information

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E3.22.1 DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER Kyoung-Il

More information

Capital / MRKT CAP \6.14B / \280.6B (as of May 12 th ) Chugeri, Yangji myun, Cheoin gu, Yongin, Kyunggi do, Korea

Capital / MRKT CAP \6.14B / \280.6B (as of May 12 th ) Chugeri, Yangji myun, Cheoin gu, Yongin, Kyunggi do, Korea Company name Established 05 JAN, 2000 Eugene Technology Co., Ltd. CEO Pyung Yong Um Capital / MRKT CAP \6.14B / \280.6B (as of May 12 th ) Address Main Products Home Page 209-3 Chugeri, Yangji myun, Cheoin

More information

Gas Analysis CAPABILITIES

Gas Analysis CAPABILITIES Gas Analysis CAPABILITIES www.extrel.com Contents For over 50 years, Extrel has specialized in providing gas analyzers that give great results. In all cases, success depends on two factors: 1. Effective

More information

Gas Analysis CAPABILITIES

Gas Analysis CAPABILITIES Gas Analysis CAPABILITIES www.extrel.com Contents For over 50 years, Extrel has specialized in providing gas analyzers that give great results. In all cases, success depends on two factors: 1. Effective

More information

Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System

Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System Performance, Reliability, and Versatility Transpector CPM Fast, Field-ready Process Monitoring System Precision measurement for modern semiconductor processes INFICON Transpector CPM has been the films.

More information

ERT 318/4 UNIT OPERATIONS SEMESTER 1 (2013/2014)

ERT 318/4 UNIT OPERATIONS SEMESTER 1 (2013/2014) ERT 318/4 UNIT OPERATIONS SEMESTER 1 (2013/2014) WATER COOLING TOWER School of Bioprocess Engineering University Malaysia Perlis EXPERIMENT Water Cooling Tower 1.0 OBJECTIVES 1.1 To determine energy and

More information

LED Production Yield Improvement Through Advanced In-Situ Metrology. Tom Thieme director marketing & sales

LED Production Yield Improvement Through Advanced In-Situ Metrology. Tom Thieme director marketing & sales LED Production Yield Improvement Through Advanced In-Situ Metrology Tom Thieme director marketing & sales 2 Outline - Introduction - In-situ metrology the concept - Up-time: fault detection and rapid root

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

Fabrication of CaO Insulator Coatings by MOCVD for Application in Fusion Reactor Blankets*

Fabrication of CaO Insulator Coatings by MOCVD for Application in Fusion Reactor Blankets* Fabrication of CaO Insulator Coatings by MOCVD for Application in Fusion Reactor Blankets* Z. Zeng and K. Natesan Energy Technology Division Argonne National Laboratory Argonne, IL 6439 November 21 Distribution

More information

MOCVD Technology for LED

MOCVD Technology for LED MOCVD Technology for LED Prof. Dr.-Ing. Michael Heuken Vice President Corporate Research and Development AIXTRON AG, Fon: +49 (241) 8909-154, Fax: +49 (241) 8909-149, Email: M.Heuken@AIXTRON.com RWTH Aachen,

More information

EE143 Microfabrication Technology Fall 2009 Homework #2 - Answers

EE143 Microfabrication Technology Fall 2009 Homework #2 - Answers EE143 Microfabrication Technology Fall 2009 Homework #2 - Answers Note: If you are asked to plot something on this homework or any future homework, make an accurate plot using Excel, Matlab, etc., with

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi: 1.138/nnano.21.279 Supplementary Material for Single-layer MoS 2 transistors B. Radisavljevic, A. Radenovic, J. Brivio, V. Giacometti, A. Kis Device fabrication Our device

More information

RainMaker Humidification System for Precise Delivery of Water Vapor into Atmospheric and Vacuum Applications

RainMaker Humidification System for Precise Delivery of Water Vapor into Atmospheric and Vacuum Applications RainMaker Humidification System for Precise Delivery of Water Vapor into Atmospheric and Vacuum Applications By Jeffrey Spiegelman Water vapor has multiple applications across industries including semiconductor,

More information

II. NEG THIN FILM DEPOSITION

II. NEG THIN FILM DEPOSITION Deposition of Non-Evaporable Getter Thin Films and Vacuum Pumping Performances Ankit Sur Engineering Department, Wayne State University, Detroit, MI 48202 The ERL (Energy Recovery Linac) proposed at Cornell

More information

The Power of Numbers. Zeton Altamira. Zeton Altamira

The Power of Numbers. Zeton Altamira. Zeton Altamira The Power of Numbers Zeton Altamira Zeton Altamira Zeton Altamira proudly introduces the A Multi-Channel Fixed Bed Reactor for High Throughput Screening of Heterogeneous Catalysts Developed as a tool for

More information

AMOLED DISPLAY PROTOTYPING SYSTEM CLUSTER TYPE FMM TECHNOLOGY

AMOLED DISPLAY PROTOTYPING SYSTEM CLUSTER TYPE FMM TECHNOLOGY IIT Madras NOVEMBER 2, 2018 SPECIFICATIONS DOCUMENT IITM_FMM_01 AMOLED DISPLAY PROTOTYPING SYSTEM CLUSTER TYPE FMM TECHNOLOGY Department of Electrical Engineering, IIT MADRAS Contents 1. Cluster-Style

More information

ME 215. Mass and Energy Analysis of Control Volumes CH-6 ÇANKAYA UNIVERSITY. Mechanical Engineering Department. Open Systems-Control Volumes (CV)

ME 215. Mass and Energy Analysis of Control Volumes CH-6 ÇANKAYA UNIVERSITY. Mechanical Engineering Department. Open Systems-Control Volumes (CV) ME 215 Mass and Energy Analysis of Control Volumes CH-6 ÇANKAYA UNIVERSITY Mechanical Engineering Department Open Systems-Control Volumes (CV) A CV may have fixed size and shape or moving boundaries Open

More information

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to Supporting Information: Substrate preparation and SLG growth: All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to aid in visual inspection of the graphene samples. Prior

More information

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

Epitaxy Processing and Safety Considerations. Alan Simpson

Epitaxy Processing and Safety Considerations. Alan Simpson Epitaxy Processing and Safety Considerations Alan Simpson 1 Epitaxy From the Greek words: EPI - Meaning upon, on, above TAXIS - Meaning ordered, arrangement The epitaxy growth process involves the formation

More information

Modeling Techniques for Increased Accuracy

Modeling Techniques for Increased Accuracy SECTION 1 Modeling Techniques for Increased Accuracy Ed Haack Duke Energy 1-1 Modeling Techniques for Increased Accuracy By Ed Haack, Duke Power Company The PEPSE model for the Catawba Units have been

More information

Combustion Control and Safety A comparison between Zirconium Oxide/Catalytic and Tunable Diode (TDL) Technologies Dr Stephen Firth / Rhys Jenkins

Combustion Control and Safety A comparison between Zirconium Oxide/Catalytic and Tunable Diode (TDL) Technologies Dr Stephen Firth / Rhys Jenkins Combustion Control and Safety A comparison between Zirconium Oxide/Catalytic and Tunable Diode (TDL) Technologies Dr Stephen Firth / Rhys Jenkins Agenda Introduction Combustion Theory / Practical Benefits

More information

AREN 2110: Thermodynamics Spring 2010 Homework 7: Due Friday, March 12, 6 PM

AREN 2110: Thermodynamics Spring 2010 Homework 7: Due Friday, March 12, 6 PM AREN 2110: Thermodynamics Spring 2010 Homework 7: Due Friday, March 12, 6 PM 1. Answer the following by circling the BEST answer. 1) The boundary work associated with a constant volume process is always

More information

Oerlikon PVD production solution for in-situ large scale deposition of PZT

Oerlikon PVD production solution for in-situ large scale deposition of PZT Oerlikon PVD production solution for in-situ large scale deposition of PZT 2nd International Workshop on Piezoelectric MEMS Materials - Processes - Tools - Devices Lausanne, 06./07.09.2011 M. Kratzer,

More information

Denton 635 Sputter SOP

Denton 635 Sputter SOP Denton 635 SOP Page 1 of 8 Denton 635 Sputter SOP 1. Scope 1.1 This document provides operating procedures for the Denton 635 automated sputter system.. 2. Table of Contents 1. Scope... 1 2. Table of Contents...

More information

Comparison of Water Vapor to Ozone for growth of ALD Films

Comparison of Water Vapor to Ozone for growth of ALD Films Comparison of Water Vapor to Ozone for growth of ALD Films By Je f f r e y Sp i e g e l m a n an d Jo n a s Su n d q v i s t ABSTRACT: The application of a backside passivation layer is an important step

More information

Continuous and R2R ALD for Coating of Polymer Webs

Continuous and R2R ALD for Coating of Polymer Webs Continuous and R2R ALD for Coating of Polymer Webs AIMCAL 2011 Web Coating Conference Dr. Mikko Söderlund Application Manager Cost of ownership [ /m 2 ] R2R ALD a paradigm change Large-area (batch) ALD

More information

How can MOCVD enable production of cost efficient HB LED's

How can MOCVD enable production of cost efficient HB LED's How can MOCVD enable production of cost efficient HB LED's Dr. Frank Schulte AIXTRON SE Company and Market Market requests and challenges Answer from the technology Conclusion P 2 Confidential Proprietary

More information

Chapter 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES

Chapter 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES Thermodynamics: An Engineering Approach Seventh Edition in SI Units Yunus A. Cengel, Michael A. Boles McGraw-Hill, 2011 Chapter 5 MASS AND ENERGY ANALYSIS OF CONTROL VOLUMES Copyright The McGraw-Hill Companies,

More information

Mott GasShield Filters made with Hastelloy C-22 alloy For unsurpassed strength and resistance to corrosion

Mott GasShield Filters made with Hastelloy C-22 alloy For unsurpassed strength and resistance to corrosion Semiconductor Industry Gas Filtration Update Corrosive gases are easily handled with all Hastelloy gas filters from Mott. Today, Mott is the premier supplier of Hastelloy-based filters to the semiconductor

More information

ReactoGard V. Your industry partner for refinery solutions in liquid filtration

ReactoGard V. Your industry partner for refinery solutions in liquid filtration ReactoGard V Your industry partner for refinery solutions in liquid filtration System New generation filtration technology ReactoGard V With more than 500 Eaton ReactoGard units throughout the world, this

More information

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE Abstract ANA NEILDE R. DA SILVA, NILTON MORIMOTO, OLIVIER BONNAUD* neilde@lsi.usp.br - morimoto@lsi.usp.br

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(1) Substrate Fan Wu Microelectronics Center, Medtronic Inc., Tempe, AZ 85261 James E. Morris Department of Electrical

More information

Energy Efficient PFC Reduction Technologies and other Energy Saving Solutions Andreas Neuber

Energy Efficient PFC Reduction Technologies and other Energy Saving Solutions Andreas Neuber Energy Efficient PFC Reduction Technologies and other Energy Saving Solutions Andreas Neuber Head Fab Environmental Solutions, Applied Materials AGS/EPG/FES Executive summary Today, carbon footprint reduction

More information

CHAPTER 4. Design of Innovative Creep Testing Facility under Flowing. Sodium

CHAPTER 4. Design of Innovative Creep Testing Facility under Flowing. Sodium CHAPTER 4 Design of Innovative Creep Testing Facility under Flowing Sodium 4.1 Introduction In this chapter, design of an innovative creep testing system comprising of a lever arm type creep machine with

More information

Roll-to-roll ALD prototype for 500 mm wide webs

Roll-to-roll ALD prototype for 500 mm wide webs Roll-to-roll ALD prototype for 500 mm wide webs Tapani Alasaarela, Mikko Söderlund, Pekka Soininen Beneq Oy, Ensimmäinen Savu, 01510 Vantaa, Finland Roll-to-roll (R2R) atomic layer deposition (ALD) technology

More information

AMC contamination strategy 450mm & advanced nodes M. Davenet

AMC contamination strategy 450mm & advanced nodes M. Davenet AMC contamination strategy 450mm & advanced nodes M. Davenet AMC Management Airborne Molecular Contamination Monitoring Curing and Protecting Preventing Conclusions Introduction: Airborne Molecular Contamination

More information

Questions. Downdraft biomass gasifier. Air. Air. Blower. Air. Syngas line Filter VFD. Gas analyzer(s) (vent)

Questions. Downdraft biomass gasifier. Air. Air. Blower. Air. Syngas line Filter VFD. Gas analyzer(s) (vent) Question 1 Questions Biomass gasification is a process where organic matter liberates flammable gases such as hydrogen (H 2 ) and carbon monoxide (CO) when heated to high temperatures. A gasifier is a

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

FABRICATION OF SWTICHES ON POLYMER-BASED BY HOT EMBOSSING. Chao-Heng Chien, Hui-Min Yu,

FABRICATION OF SWTICHES ON POLYMER-BASED BY HOT EMBOSSING. Chao-Heng Chien, Hui-Min Yu, Stresa, Italy, 26-28 April 2006 FABRICATION OF SWTICHES ON POLYMER-BASED BY HOT EMBOSSING, Mechanical Engineering Department, Tatung University 40 Chung Shan N. Rd. Sec. 3 Taipei, Taiwan ABSTRACT In MEMS

More information

USING SIMULATION TO UNDERSTAND CAPACITY CONSTRAINTS AND IMPROVE EFFICIENCY ON PROCESS TOOLS. Kishore Potti. Todd LeBaron

USING SIMULATION TO UNDERSTAND CAPACITY CONSTRAINTS AND IMPROVE EFFICIENCY ON PROCESS TOOLS. Kishore Potti. Todd LeBaron Proceedings of the 2002 Winter Simulation Conference E. Yücesan, C.-H. Chen, J. L. Snowdon, and J. M. Charnes, eds. USING SIMULATION TO UNDERSTAND CAPACITY CONSTRAINTS AND IMPROVE EFFICIENCY ON PROCESS

More information