High Rate Growth of SiO 2 by Thermal ALD Using Tris(dimethylamino)silane

Size: px
Start display at page:

Download "High Rate Growth of SiO 2 by Thermal ALD Using Tris(dimethylamino)silane"

Transcription

1 High Rate Growth of SiO 2 by Thermal ALD Using Tris(dimethylamino)silane and Ozone Guo Liu, Ritwik Bhatia, Eric W. Deguns, Mark J. Dalberth, Mark J. Sowa, Adam Bertuch, Laurent Lecordier, Ganesh Sundaram, Jill S. Becker Cambridge NanoTech Inc. ALD 2011 Cambridge, MA, USA June 26-29, 2011

2 Introduction: Thermal ALD of SiO 2 Many Existing SiO 2 ALD Processes are Unsatisfactory A few examples (1) TEOS Process, tetraethoxysilane, Si(OCH 2 CH 3 ) 4 - Reacts with H 2 O when catalyzed by NH 3 or amines, even at room temperature nm/cycle at 300 K J. D. Ferguson,a E. R. Smith,a A. W. Weimer,b and S. M. George, J. Electrochem. S., 151 (8), G528-G535 (2004) Too slow, required large exposures ( L) for surface reactions to reach completion (1 L =10-6 Torr-s) 1

3 Introduction: Thermal ALD of SiO 2 (2) 3-aminopropyltriethoxysilane process, O 3 and H 2 O: H 2 N(CH 2 ) 3 Si(OCH 2 CH 3 ) 3 - Self-catalyzed hydrolysis due to existence of amino group C, GPC=0.05~0.06nm/cycle J. Bachmann, R. Zierold, Y. T. Chong, R. Hauert, C. Sturm, R. Schmidt-Grund, B. Rheinlnder, M. Grundmann, U. Gosele, and K. Nielsch, Angew. Chem. Int. Ed. 47, (2008). High quality SiO 2 Slow process (requires two oxidants H 2 O and O 3 ) Unreacted precursor caused frequent damages to vacuum pumps 2

4 Introduction: Thermal ALD of SiO 2 (3) TDMAS (or 3DMAS)-H 2 O 2 Process: tris(dimethylamino)silane, [(CH 3 ) 2 N] 3 SiH - First reported to be a reactive precursor with H 2 O 2 oxidant B. B. Burton, S. W. Kang, S. W. Rhee, and S. M. George, J. Phys. Chem. C 113, (2009) Precursor can be used in a wide temperature range: C Inability of H 2 O 2 to remove all Si-H bonds at lower temperatures usable temperature 450 C Low thermal stability of H 2 O 2 3

5 The TDMAS O 3 Process TDMAS, [(CH 3 ) 2 N] 3 SiH High vapor pressure at ambient temperature BP= C, 16mmHg at 4 C no heating needed Insoluble in H 2 O No reaction with H 2 O or O 2 up to 350 C 4

6 Pressure Pressure Pressure Three Different Reaction Modes Continuous Mode Cambridge NanoTech s ALD Reactors Time Partial Exposure (pusle 2) Time Full Exposure (both pulses) (1) Continuous Mode Normal pulse/purge steps for all precursors (2) Partial Exposure Mode One precursor with an extra hold step (pulse/hold/purge), staying in reactor longer to increase residence time Different from an extended pulse time (3) Full Exposure Mode All precursors with pulse/hold/purge steps Time 5

7 SiO 2 Growth Rate (nm/cycle) The TDMAS O 3 Process SiO 2 from [(CH 3 ) 2 N] 3 SiH and O 3 in Various ALD Modes Full Exposure Partial Expo. (TDMAS) Continuous Mode Full Expo. with H2O Deposition Temperature ( o C) Continuous: very low growth rate ~ 0.02 nm/cycle Partial Expo: TDMAS exposure (28 sec.) key to high growth rate Full Expo: O 3 exposure (7 sec.) further increased growth rate Growth rate much lower with H 2 O 2 6

8 SiO 2 Growth Rate (nm/cycle) SiO 2 Thickness (nm) TDMAS Saturation and Linear Growth Deviation from a flat saturation curve with TDMAS dose could be due to less than full saturation from limited exposure time Growth is linear without nucleation delay Process completely repeatable 0.14 Saturation Curve of [(CH 3 ) 2 N] 3 SiH at 250 C 80 Full EXPO SiO 2 from [(CH 3 ) 2 N] 3 SiH and O 3 at 200 C [(CH 3 ) 2 N] 3 SiH Pulse Time (sec.) Number of Cycles 7

9 Leakage Current at 2 MV/cm (A/cm 2 ) Breakdown Field (MV/cm) Electrical Properties by mercury probe Leakage Current and Breakdown Strength Data scattered due to changing properties with time C process produced SiO 2 films with lowest leakage current and highest breakdown strength; 200 C the worst 1.0E-04 SiO 2 from [(CH 3 ) 2 N] 3 SiH and O 3 Full Expo 6.0 SiO 2 from [(CH 3 ) 2 N] 3 SiH and O 3 Full Expo 1.0E E E E E Deposition Temperature ( C) Deposition Temperature ( C) 8

10 Dielectric Constant at 10kHz Current (A/cm 2 ) SiO 2 films absorb H 2 O in air Change in Dielectric Constant, Leakage Current and Breakdown Field with Time Dielectric constant of fresh 100 C SiO 2 close to ideal value of 3.9 It increased with air exposure time until reached a saturated state Full Expo SiO 2 from [(CH 3 ) 2 N] 3 SiH and O 3 at 100 C 1.0E E-01 Full Expo SiO 2 from [(CH 3 ) 2 N] 3 SiH and O 3 at 100 C days later Next day fresh Voltage (V) 1.0E E E E E E E E-09 Electric Field (MV/cm) Fresh 5 days later 9

11 Integrated Counts H, C, N Concentration (at %) SIMS Analysis Very Low C impurity (highest at 0.2 at% with 100 C SiO 2 ) Low N at high temperatures (highest at 3.6 at% with 100 C SiO 2 ) High concentrations of H (highest with 200 C SiO 2 ) 1.0E+24 SIMS Profiles of SiO 2 deposited at 350 C C N O Si H 25 Imprity Levels in SiO 2 from [(CH 3 ) 2 N] 3 SiH and O 3 by SIMS 1.0E E E E H C N 1.0E E Depth (Å) Deposition Temperature ( C) 10

12 Thickness (nm) H 2 O-saturated SiO 2 films: annealing in vacuum or NH 3 Refractive Index n at 633nm Annealing at 300 C led to 3-5% decrease in thickness and a slight drop in refractive index Refractive index partially reversible upon re-exposure to air Before anneal 300 C annealed in NH Before anneal 300 C annealed in NH immediately after anneal 80C SiO2 100C SiO2 150C SiO # of days after anneal immediately after anneal 80C SiO2 100C SiO2 150C SiO # of days after anneal 11

13 Dielectric Constant at 10kHz H 2 O-saturated SiO 2 films: annealing in vacuum or NH 3 Dielectric Constant at 10kHz 300 C anneal in NH 3 was more effective than vacuum anneal Dielectric constant dropped to 3.9 for NH 3 -annealed C SiO 2 NH 3 facilitates removal of OH groups? Reversible dielectric constant with re-exposure to air C annealed in vacuum C annealed in NH 3 10 Before anneal 10 Before anneal immediately after anneal 80C SiO2 100C SiO2 150C SiO # of days after anneal immediately after anneal 80C SiO2 100C SiO2 150C SiO # of days after anneal 12

14 Summary TDMAS-O 3 a good ALD process for SiO 2 Full exposure mode helps growth saturation C SiO 2 films have better electrical properties Uncapped ALD SiO 2 absorbs H 2 O in air Annealing of H 2 O-absorbed SiO 2 films in NH 3 at C restores electrical properties 13

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Abstract Roy Gordon Gordon@chemistry.harvard.edu, Cambridge, MA To achieve ALD s unique characteristics, ALD precursors must

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Preparation of Low-k Porous SiO 2 Films by SiO 2 /Organic Hybrid Chemical Vapor Deposition Akira Fujimoto and Osamu Sugiura Department of Physical Electronics, Tokyo Institute of Technology, 2-2-, O-okayama,

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge)

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge) Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge) PREPARED BY: You-Sheng (Wilson) Lin, Nanolab Staff 7-30-2013 Superusers: Steve Franz You-Sheng Lin Max Ho X68923 X68923

More information

Layer Deposition. (Sn,Al)O x Films Grown by Atomic. Jaeyeong Heo, Yiqun Liu, Prasert Sinsermsuksakul, Zhefeng Li, Jaeyeong Heo.

Layer Deposition. (Sn,Al)O x Films Grown by Atomic. Jaeyeong Heo, Yiqun Liu, Prasert Sinsermsuksakul, Zhefeng Li, Jaeyeong Heo. 1/14 (Sn,Al)O x Films Grown by Atomic Layer Deposition June 29 th 2011, Yiqun Liu, Prasert Sinsermsuksakul, Zhefeng Li, Leizhi Sun, Wontae Noh, and Roy G. Gordon Harvard University, Cambridge, MA, USA

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

Atomic Layer Deposition of Novel High Dielectric Constant Materials

Atomic Layer Deposition of Novel High Dielectric Constant Materials Atomic Layer Deposition of Novel High Dielectric Constant Materials Adam Kueltzo # & Julie Lam * Thornton Fractional North High School # and Maine East High School * August 2, 2007 University of Illinois

More information

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat 1.1149/1.2727414, The Electrochemical Society A New Liquid Precursor for Pure Ruthenium Depositions J. Gatineau, C. Dussarrat Air Liquide Laboratories, Wadai 28, Tsukuba city, Ibaraki Prefecture, 3-4247,

More information

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices

Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices International Conference on Characterization and Metrology for ULSI Technology March 15-18, 2005 Atomic Layer Deposition of High-k k Dielectric and Metal Gate Stacks for MOS Devices Yoshi Senzaki, Kisik

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi: 1.138/nnano.21.279 Supplementary Material for Single-layer MoS 2 transistors B. Radisavljevic, A. Radenovic, J. Brivio, V. Giacometti, A. Kis Device fabrication Our device

More information

MOS Gate Dielectrics. Outline

MOS Gate Dielectrics. Outline MOS Gate Dielectrics Outline Scaling issues Technology Reliability of SiO 2 Nitrided SiO 2 High k dielectrics 42 Incorporation of N or F at the Si/SiO 2 Interface Incorporating nitrogen or fluorine instead

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors

Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors JOURNAL OF MATERIALS SCIENCE LETTERS 18 (1999)1427 1431 Evaluation of silicon nitride and silicon carbide as efficient polysilicon grain-growth inhibitors C. L. CHA, E. F. CHOR Center For Optoelectronics,

More information

University, Piscataway, New Jersey 08854, USA. Massachusetts 02138, USA

University, Piscataway, New Jersey 08854, USA. Massachusetts 02138, USA 10.1149/1.2779073 The Electrochemical Society In-situ FTIR Study of Atomic Layer Deposition (ALD) of Copper Metal Films Min Dai a, Jinhee Kwon a, Erik Langereis a, Leszek Wielunski a, Yves J. Chabal a

More information

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique IOP Publishing Journal of Physics: Conference Series 61 (2007) 369 373 doi:10.1088/1742-6596/61/1/074 International Conference on Nanoscience and Technology (ICN&T 2006) Application of ultra-thin aluminum

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application ABSTRACT D. A. P. Bulla and N. I. Morimoto Laboratório de Sistemas Integráveis da EPUSP São Paulo - S.P. -

More information

ALD systems and SENTECH Instruments GmbH

ALD systems and SENTECH Instruments GmbH ALD systems and processes @ SENTECH Instruments GmbH H. Gargouri, F. Naumann, R. Rudolph and M. Arens SENTECH Instruments GmbH, Berlin www.sentech.de 1 2 Agenda 1. Company Introduction 2. SENTECH-ALD-Systems

More information

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS Interface Structure and Charge Trapping in HfO 2 -based MOSFETS MURI - ANNUAL REVIEW, 13 and 14 th May 2008 S.K. Dixit 1, 2, T. Feng 6 X.J. Zhou 3, R.D. Schrimpf 3, D.M. Fleetwood 3,4, S.T. Pantelides

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering Microelectronics Journal 37 (2006) 1481 1485 www.elsevier.com/locate/mejo Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering T.I. Kamins a,, A.A. Yasseri a,1, S. Sharma a,2, R.F.W.

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects 2012-04-11 SYMPOSIUM C 11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects Jing Yang 1, Harish B. Bhandari 1,

More information

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS A STUDY OF BIASED TARGET ION BEAM DEPOSITED DIELECTRIC OXIDES FELIX ARONOVICH FALL 2013 A thesis

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information

In situ spectroscopic ellipsometry as a versatile tool to study atomic layer deposition

In situ spectroscopic ellipsometry as a versatile tool to study atomic layer deposition In situ spectroscopic ellipsometry as a versatile tool to study atomic layer deposition Erik Langereis Department of Applied Physics e.langereis@tue.nl http://www.phys.tue.nl/pmp Ellipsometry Workshop

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

Selective atomic layer deposition of zirconium oxide on copper patterned silicon substrate

Selective atomic layer deposition of zirconium oxide on copper patterned silicon substrate Selective atomic layer deposition of zirconium oxide on copper patterned silicon substrate Jaya Parulekar, Department of Chemical and Biological Engineering, Illinois Institute of Technology, Chicago,

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Fundamental Aspects of Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD) Micro/Nano-Electromechanical Transducers (imint) 2

Fundamental Aspects of Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD) Micro/Nano-Electromechanical Transducers (imint) 2 Fundamental Aspects of Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD) Steven M. George 1,2,3, Victor M. Bright 1,4,Y. C. Lee 1,4 1 DARPA Center on Science and Technology for Integrated

More information

Atomic layer deposition of thin hafnium oxide films using a carbon free precursor

Atomic layer deposition of thin hafnium oxide films using a carbon free precursor JOURNAL OF APPLIED PHYSICS VOLUME 93, NUMBER 1 1 JANUARY 2003 Atomic layer deposition of thin hafnium oxide films using a carbon free precursor J. F. Conley, Jr., a) Y. Ono, D. J. Tweet, and W. Zhuang

More information

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December Annual Meeting North Carolina State University Dr. Veena Misra January 17 19, 2017 December 8 2015 1 Misra Group at NCSU Over 9 years experience in wide band gap research on SiC, GaN and Ga2O3. World leaders

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Impurity free vacancy disordering of InGaAs quantum dots

Impurity free vacancy disordering of InGaAs quantum dots JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 12 15 DECEMBER 2004 Impurity free vacancy disordering of InGaAs quantum dots P. Lever, H. H. Tan, and C. Jagadish Department of Electronic Materials Engineering,

More information

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate

Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(100) Substrate Morphology of Thin Aluminum Film Grown by DC Magnetron Sputtering onto SiO 2 on Si(1) Substrate Fan Wu Microelectronics Center, Medtronic Inc., Tempe, AZ 85261 James E. Morris Department of Electrical

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Device Fabrication: CVD and Dielectric Thin Film

Device Fabrication: CVD and Dielectric Thin Film Device Fabrication: CVD and Dielectric Thin Film 1 Objectives Identify at least four CVD applications Describe CVD process sequence List the two deposition regimes and describe their relation to temperature

More information

Device Fabrication: Metallization

Device Fabrication: Metallization Device Fabrication: Metallization 1 Applications: Interconnection 2 Q & A Can we reduce all dimensions of metal interconnection line at the same ratio? R= l/wh. When we shrink all dimensions (length l,

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2,

More information

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD) Lecture 8 Deposition of dielectrics and metal gate stacks (CVD, ALD) Thin Film Deposition Requirements Many films, made of many different materials are deposited during a standard CMS process. Gate Electrodes

More information

Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2

Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2 Supplementary Figure S1 Crystal structure of the conducting filaments in sputtered SiO 2 based devices. (a) TEM image of the conducting filament in a SiO 2 based memory device used for SAED analysis. (b)

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD) This page discusses the CVD processes often used for integrated circuits (ICs). Particular materials are deposited best under particular conditions. Facilitation recommendations are at the bottom of the

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

(2001) 150 C 148 (7). G370-G374. ISSN

(2001) 150 C 148 (7). G370-G374. ISSN Gleskova, H. and Wagner, S. and Gašparík, V. and Kováč, P. (2001) 150 C amorphous silicon thin-film transistor technology for polyimide substrates. Journal of the Electrochemical Society, 148 (7). G370-G374.

More information

Plasma-Enhanced Chemical Vapor Deposition

Plasma-Enhanced Chemical Vapor Deposition Plasma-Enhanced Chemical Vapor Deposition Steven Glenn July 8, 2009 Thin Films Lab 4 ABSTRACT The objective of this lab was to explore lab and the Applied Materials P5000 from a different point of view.

More information

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA 10.1149/1.2355716, copyright The Electrochemical Society Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric Daniel J. Lichtenwalner a, Jesse S. Jur a, Steven Novak b, Veena

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

RAPID DENSIFICATION OF CARBON AND CERAMIC MATRIX COMPOSITES MATERIALS BY FILM BOILING PROCESS

RAPID DENSIFICATION OF CARBON AND CERAMIC MATRIX COMPOSITES MATERIALS BY FILM BOILING PROCESS 16 TH INTERNATIONAL CONFERENCE ON COMPOSITE MATERIALS RAPID DENSIFICATION OF CARBON AND CERAMIC MATRIX COMPOSITES MATERIALS BY FILM BOILING PROCESS Patrick G. David*, Joëlle Blein*, Christian Robin-Brosse

More information

Conductivity switching of labyrinth metal films at the percolation threshold

Conductivity switching of labyrinth metal films at the percolation threshold Journal of Physics: Conference Series PAPER OPEN ACCESS Conductivity switching of labyrinth metal films at the percolation threshold To cite this article: M G Gushchin et al 2018 J. Phys.: Conf. Ser. 951

More information

Corrosion Protect DLC Coating on Steel and Hastelloy

Corrosion Protect DLC Coating on Steel and Hastelloy Materials Transactions, Vol. 49, No. 6 (2008) pp. 1333 to 1337 #2008 The Japan Institute of Metals Corrosion Protect DLC Coating on Steel and Hastelloy Hironobu Miya and Jie Wang Semiconductor Equipment

More information

DEPOSITION OF THIN FILMS ON POLYCARBONATES BY PULSE DIELECTRIC BARRIER DISCHARGE

DEPOSITION OF THIN FILMS ON POLYCARBONATES BY PULSE DIELECTRIC BARRIER DISCHARGE a DEPOSITION OF THIN FILMS ON POLYCARBONATES BY PULSE DIELECTRIC BARRIER DISCHARGE T. Opalińska a, B. Ulejczyk a, L. Karpiński b, K. Schmidt-Szałowski c a) Industrial Chemistry Research Institute, Rydygiera

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Available online at ScienceDirect. Energy Procedia 92 (2016 ) Mathieu Boccard*, Nathan Rodkey, Zachary C.

Available online at  ScienceDirect. Energy Procedia 92 (2016 ) Mathieu Boccard*, Nathan Rodkey, Zachary C. Available online at www.sciencedirect.com ScienceDirect Energy Procedia 92 (2016 ) 297 303 6th International Conference on Silicon Photovoltaics, SiliconPV 2016 High-mobility hydrogenated indium oxide

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

Effect of external gettering with porous silicon on the electrical properties of Metal-Oxide-Silicon devices

Effect of external gettering with porous silicon on the electrical properties of Metal-Oxide-Silicon devices Available online at www.sciencedirect.com www.elsevier.com/locate/xxx Physics Physics Procedia 2 (2009) (2008) 983 988 000 000 www.elsevier.com/locate/procedia Proceedings of the JMSM 2008 Conference Effect

More information

Supporting Information for Manuscript B516757D

Supporting Information for Manuscript B516757D Supporting Information for Manuscript B516757D 1. UV-Vis absorption spectra Absorbance (a.u.) 0.4 0.2 5F 6F 7F 0.0 300 400 500 Wavelength (nm) Figure S1 UV-Vis spectra of, 5F, 6F and 7F in CHCl 3 solutions

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB ME 141B: The MEMS Class Introduction to MEMS and MEMS Design Sumita Pennathur UCSB Outline today Introduction to thin films Oxidation Deal-grove model CVD Epitaxy Electrodeposition 10/6/10 2/45 Creating

More information

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts Christopher E. D. Chidsey Department of Chemistry Stanford University Collaborators: Paul C. McIntyre, Y.W. Chen, J.D. Prange,

More information

Crystalline silicon surface passivation with SiON:H films deposited by medium frequency magnetron sputtering

Crystalline silicon surface passivation with SiON:H films deposited by medium frequency magnetron sputtering Available online at www.sciencedirect.com Physics Procedia 18 (2011) 56 60 The Fourth International Conference on Surface and Interface Science and Engineering Crystalline silicon surface passivation with

More information

Atomic Oxygen-Resistant, Static-Dissipative, Pinhole-Free Coatings for Spacecraft

Atomic Oxygen-Resistant, Static-Dissipative, Pinhole-Free Coatings for Spacecraft Physical Sciences Inc. VG10-109 Atomic Oxygen-Resistant, Static-Dissipative, Pinhole-Free Coatings for Spacecraft Michelle T. Schulberg, Robert H. Krech, Frederick S. Lauten Physical Sciences Inc. Roy

More information

Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures

Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures E.G. Liniger, T.M. Shaw, S.A. Cohen, P.K. Leung*, S.M. Gates, G. Bonilla, D.Canaperi*, S. Papa Rao IBM T.J. Watson Research Center, 1101

More information

Thin AC-PDP Vacuum In-line Sealing Using Direct-Joint Packaging Method

Thin AC-PDP Vacuum In-line Sealing Using Direct-Joint Packaging Method H128 0013-4651/2004/151 5 /H128/5/$7.00 The Electrochemical Society, Inc. Thin AC-PDP Vacuum In-line Sealing Using Direct-Joint Packaging Method Duck-Jung Lee, a,b,z Seung-IL Moon, a Yun-Hi Lee, c and

More information

Development of Low Temperature Oxidation Process Using Ozone For VlSI

Development of Low Temperature Oxidation Process Using Ozone For VlSI Development of Low Temperature Oxidation Process Using Ozone For VlSI Yudhvir Singh Chib Electronics & Communication Department, Thapar University, Patiala, India Abstract: With decreasing size of MOS

More information

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED Y. H. Lin and C. Y. Liu Department of Chemical Engineering and Materials Engineering, National Central University, Jhongli,

More information

Studying Composition of Al2O3 Thin Films Deposited by Atomic Layer Deposition (ALD) and Electron-Beam Evaporation (EBE) upon Rapid Thermal Processing

Studying Composition of Al2O3 Thin Films Deposited by Atomic Layer Deposition (ALD) and Electron-Beam Evaporation (EBE) upon Rapid Thermal Processing Studying Composition of Al2O3 Thin Films Deposited by Atomic Layer Deposition (ALD) and Electron-Beam Evaporation (EBE) upon Rapid Thermal Processing Alexey Alexeevich Dronov, Darya Alexeevna Dronova,

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 52 (212) 143 149 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Physical properties and

More information

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Adam Bertuch a, Wesley Smith a, Ken Steeples a, Robert Standley b, Anca Stefanescu b, and Ron Johnson c a QC Solutions Inc.,

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION In the format provided by the authors and unedited. ARTICLE NUMBER: 16178 DOI: 10.1038/NENERGY.2016.178 Enhanced Stability and Efficiency in Hole-Transport Layer Free CsSnI3 Perovskite Photovoltaics Supplementary

More information

SPI Supplies Brand MgO Magnesium Oxide Single Crystal Substrates, Blocks, and Optical Components

SPI Supplies Brand MgO Magnesium Oxide Single Crystal Substrates, Blocks, and Optical Components SPI Supplies Brand MgO Magnesium Oxide Single Crystal Substrates, Blocks, and Optical Components Used by high temperature thin film superconductor researchers worldwide! Purity better than 99.9%! Choose

More information

GVB GmbH Solutions in Glass Nordstern-Park Herzogenrath Germany Fax

GVB GmbH Solutions in Glass Nordstern-Park Herzogenrath Germany Fax GVB GmbH Solutions in Glass Nordstern-Park 2 52134 Herzogenrath Germany +49 2406 665588-0 Fax +49 2406 665588-10 info@g-v-b.de www.g-v-b.de EN09 QUARTZ TUBE This standard quartz tube is a kind of clear

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Development of a new atmospheric pressure cold plasma jet generator and application in sterilization

Development of a new atmospheric pressure cold plasma jet generator and application in sterilization Vol 15 No 7, July 2006 c 2006 Chin. Phys. Soc. 1009-1963/2006/15(07)/1544-5 Chinese Physics and IOP Publishing Ltd Development of a new atmospheric pressure cold plasma jet generator and application in

More information

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan Improvement of Interface Properties of W/La O 3 /Si MOS Structure Using Al Capping Layer K. Tachi a, K. Kakushima b, P. Ahmet a, K. Tsutsui b, N. Sugii b, T. Hattori a, and H. Iwai a a Frontier Collaborative

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

White Paper. Impact of Opportunity Crudes on Fouling in Preheat, distillation, and furnace systems

White Paper. Impact of Opportunity Crudes on Fouling in Preheat, distillation, and furnace systems White Paper Impact of Opportunity Crudes on Fouling in Preheat, distillation, and furnace systems Parag Shah Vice President, Technical Services Dorf Ketal Fouling in CDU Relative to crudes that are not

More information

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers Mat. Res. Soc. Symp. Proc. Vol. 810 2004 Materials Research Society C3.5.1 oron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers M. S. Carroll 1 J. C. Sturm, Dept. of Electrical Engineering,

More information

High Rate low pressure PECVD for barrier and optical coatings

High Rate low pressure PECVD for barrier and optical coatings High Rate low pressure PECVD for barrier and optical coatings, Matthias Fahland, John Fahlteich, Björn Meyer, Steffen Straach, Nicolas Schiller Outline Introduction PECVD New developments magpecvd arcpecv

More information

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article Available online www.jocpr.com Journal of Chemical and Pharmaceutical Research, 2017, 9(1):163-167 Research Article ISSN : 0975-7384 CODEN(USA) : JCPRC5 Synthesis and Characterization of Carbon Nano Spheres

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

Rapid Thermal Processing (RTP) Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Rapid Thermal Processing (RTP) Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

Slow DNA Transport through Nanopores in Hafnium Oxide Membranes

Slow DNA Transport through Nanopores in Hafnium Oxide Membranes Slow DNA Transport through Nanopores in Hafnium Oxide Membranes Joseph Larkin, Robert Henley, David C. Bell, Tzahi Cohen-Karni, # Jacob K. Rosenstein, and Meni Wanunu * Departments of Physics and Chemistry/Chemical

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

MOS Front-End. Field effect transistor

MOS Front-End. Field effect transistor MOS Front-End Back-end Transistor Contact Front-end p-well STI n-well Front-end-of-line includes substrate, isolation, wells, transistor, silicide Field effect transistor MOSFET: Metal-Oxide-Semiconductor

More information

INTERVIA BPP-10 Photoresist

INTERVIA BPP-10 Photoresist Technical Data Sheet INTERVIA BPP-10 Photoresist For Advanced Packaging Applications Description Regional Product Availability Advantages INTERVIA BPP-10 Photoresist is a general-purpose, multi-wavelength

More information