Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs. David W. Price, Ph.D. Robert J. Rathert April, 2017

Size: px
Start display at page:

Download "Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs. David W. Price, Ph.D. Robert J. Rathert April, 2017"

Transcription

1 Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs David W. Price, Ph.D. Robert J. Rathert April, 2017

2 Outline 1. Introduction 2. BKM s to Minimize Latent Reliability Defects 3. Application of Part Average Testing Principles to Inline Defect and Metrology Data 2 KLA-Tencor Confidential - Internal Use Only

3 KLA-Tencor Overview >40 years Global Leader in Process Control since 1976 ~21,900 ~ tools installed worldwid e global employees countries $3.0B FY16 revenue $2.0B R&D investment over last 4 fiscal years 3 KLA-Tencor Confidential - Internal Use Only

4 Semiconductor Inline Process Control 1) You can t fix what you can t find 2) You can t control what you can t measure 4 KLA-Tencor Confidential - Internal Use Only

5 Semiconductor Reliability For a well designed process and product, early-life reliability issues are dominated by random defectivity. 5 KLA-Tencor Confidential - Internal Use Only

6 Random Defectivity The defect types that impact reliability are the same as those that impact yield. 6 KLA-Tencor Confidential - Internal Use Only

7 Outline 1. Introduction 2. BKM s to Minimize Latent Reliability Defects 3. Application of Part Average Testing Principles to Inline Defect and Metrology Data 7 KLA-Tencor Confidential - Internal Use Only

8 Increasing Yield Decreasing D0 Continuous Baseline Defect Reduction Automotive Fabs must reduce defectivity beyond what is normally considered cost-effective. process tool defectivity Automotive Current world class yield and defect density Defect-limited yield requirements for automotive devices Non-Automotive 0% Time 8 KLA-Tencor Confidential - Internal Use Only

9 Process Tool Defect Reduction Common Practice Surfscan Pre-scan Process DSA Adders to SPC Surfscan Post-scan 1. Establish baseline Best tool for automotive Areas for improvement 2. Empower tool owners 3. Set quarterly reduction targets 10 0 Tool/chamber matching Intra-tool partitioning SEM Review with EDX Signature analysis Defect & root cause library 9 KLA-Tencor Confidential - Internal Use Only

10 Sensitivity to Killer and Latent Defects The inline defect sensitivity requirement for Automotive is one full design rule more aggressive than non-automotive devices. Common Practice 10 KLA-Tencor Confidential - Internal Use Only

11 Partition and Quarantine Program Common Practice Elements of a Product Wafer Inspection Strategy Broadband Plasma Defect Inspection Laser Scanning Defect Inspection Macro/Edge Defect Inspection e-beam Inspection Overlay Metrology Films Metrology CD Metrology 11 KLA-Tencor Confidential - Internal Use Only

12 Macro-Defect Screening Common Practice 12 KLA-Tencor Confidential - Internal Use Only % of wafers are scanned. 1-2 levels, low sensitivity / high tput 2. Results automatically ink and scrap.

13 Outline 1. Introduction 2. BKM s to Minimize Latent Reliability Defects 3. Application of Part Average Testing Principles to Inline Defect and Metrology Data 13 KLA-Tencor Confidential - Internal Use Only

14 Part Average Testing Statistical screening technique Introduced by AEC in 1997 Assumes die outside of the normal distribution (but inside the spec limit) have a higher chance of reliability failures. 14 KLA-Tencor Confidential - Internal Use Only

15 Example 1: Parametric Part Average Testing (P-PAT) Common Practice Is there a statistical difference in chip reliability between Chip A and B? Chip A Chip B 15 KLA-Tencor Confidential - Internal Use Only

16 Example 2: Geographic Part Average Testing (G-PAT) Common Practice Wafer 1 Wafer 2 Is there a statistical difference in chip reliability between Chip A and B? Chip A Chip B 16 KLA-Tencor Confidential - Internal Use Only

17 Application of PAT Concepts to Inline Defect and Metrology Data Strengths: FA points to a direct correlation between reliability failures and on-wafer random defectivity. Fabs already make extensive use of inline Inspection and Metrology for yield improvement and waferlevel excursion monitoring. Traceability: Opportunity for step-level defect data for each chip to improve failure analysis. D rel D yield Challenge: Only a very small fraction of defects produce latent reliability failures. Scrapping every die with a defect would usually mean zero yield. 17 KLA-Tencor Confidential - Internal Use Only

18 Inline Defect Part Average Testing (I-PAT) Inspection Layer 1 (LS) Inspection Layer 2 (LS) Stacked-defect die map created by adding together the defects from inline inspection steps Inspection Layer 3 (BBP) Inspection Layer 4 (LS) Inspection Layer 5 (BBP) Inspection Layer 6 (LS) Inspection Layer 7 (LS) Inspection Layer 8 (BBP) Inspection Layer 9 (EBI) Chip A Chip B... Inspection Layer N (macro) Is there a statistical difference in chip reliability between Chip A and B? 18 KLA-Tencor Confidential - Internal Use Only

19 Emerging Practice I-PAT Implementation Stacked Defect Wafer Map from 10 critical steps Calculate the Latent Defect Probability Index (LDPI) for each die based on # of stacked defects, modified by: Size filtering Rough bin classification Within die location / care area / NanoPoint. Layer-step weighting LDPI Histogram m + 3s 0 Large number SINF* file to probe Outlier Die *Standard Integrator Navigator File 19 KLA-Tencor Confidential - Internal Use Only

20 Summary 1. Latent reliability defects from the semiconductor manufacturing process are a critical issue for automotive electronics reliability. 2. Fabs employ several methodologies to provide additional process control for automotive devices: Use of lowest defectivity process tools Factory wide defect reduction activities Partition and Quarantine of excursion wafers Inline screening using macro defect inspection tools 3. Part Average Testing methodologies are being applied to inline defect and metrology data (e.g., I-PAT ). 20 KLA-Tencor Confidential - Internal Use Only

21 Acknowledgements References: 1. D.W. Price and D.G. Sutherland, Process Watch 7: The Most Expensive Defect, Part 2 Solid State Technology (on-line and print editions). July Shirley, Glenn and Johnson, Scott. Defect Models of Yield and Reliability. Published lecture #13 for Quality and Reliability Engineering ECE 510 course at Portland State University, Roesch, Bill. Reliability Experience. Published lecture #12 for Quality and Reliability Engineering ECE 510 at Portland State University, Riordan et al. Microprocessor Reliability Performance as a Function of Die Location for a.25um, Five Layer Metal CMOS Logic Process. 37 th Annual International Reliability Physics Symposium Proceedings (1999): DOI ( 5. Barnett et al. Extending Integrated-Circuit Yield Models to Estimate Early-Life Reliability. IEEE Transactions on Reliability, Vol. 52, No. 3. (2003). 6. Kuper et al. Relation between Yield and Reliability of Integrated Circuits: Experimental results and Application to Continuous Early Failure Rate Reduction Programs. Proceedings of the International Reliability Physics Symposium (1996): Automotive Electronics Council, Component Technical Committee, Guidelines for Part Average Testing AEC-Q001 Rev-D, December 9, Contributors Doug Sutherland (KT) Kara Sherman (KT) Robert Cappel (KT John McCormack (KT) Barry Saville (KT) Scott Hoover (KT) Jim Young 21 KLA-Tencor Confidential - Internal Use Only

22 Copyright 2013 KLA-Tencor Corporation

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis DATASHEET YieldManager Customizable yield management for IC manufacturers Overview For semiconductor foundries and IDMs that must maintain high yield for their products and real-time identification of

More information

Detection of Yield-Impacting Defects in SiC and GaN Materials used in the Power Device Market. R. Sappey, M. Raghunathan, A. Somanchi, T.

Detection of Yield-Impacting Defects in SiC and GaN Materials used in the Power Device Market. R. Sappey, M. Raghunathan, A. Somanchi, T. Detection of Yield-Impacting Defects in SiC and GaN Materials used in the Power Device Market R. Sappey, M. Raghunathan, A. Somanchi, T. Pierson AGENDA Integrated surface & photoluminescence defect detection

More information

On the Relationship between Semiconductor Manufacturing Volume, Yield, and Reliability

On the Relationship between Semiconductor Manufacturing Volume, Yield, and Reliability On the Relationship between Semiconductor Manufacturing Volume, Yield, and Reliability Microelectronics Reliability & Qualification Working Meeting February 8, 2017 Dr. Jeffrey Siddiqui, Dr. John Ortega,

More information

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection CTO, Maydan Technology Center Applied Materials, Inc. Mike_Smayling@amat.com Topics Introduction to Test Chips Test Structures Basic

More information

Hermes Microvision, Inc.

Hermes Microvision, Inc. Hermes Microvision, Inc. Investor Presentation February 2016 I. Introduction to HMI HMI Highlights Company Profile Leading-edge Inspection Tools and Solutions World s leading supplier of EBI tools and

More information

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017 IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017 1. The thickness of a film deposited on wafers at a particular process step is subject

More information

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) 450mm Metrology and Inspection: The Current State and the Road Ahead Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) Outline Program Update Demonstration Testing Method (DTM) Equipment

More information

Forecast of Used Equipment Market Based on Demand & Supply

Forecast of Used Equipment Market Based on Demand & Supply Forecast of Used Equipment Market Based on Demand & Supply 2013. 06. 05 Thomas LEE Ⅰ. Market Introduction 300 200 150 _ Wafer Demand by Devices Type and Used Equipment Targets 20 to 0.13 0.13 to 0.5 >

More information

Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT)

Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT) Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT) To enhance the quality control and achieve the zero defect target for automotive grade parts, we need

More information

FOR SEMICONDUCTORS 2005 EDITION

FOR SEMICONDUCTORS 2005 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2005 EDITION YIELD ENHANCEMENT THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

EUV Mask Defect Reduction : Status and Challenges

EUV Mask Defect Reduction : Status and Challenges EUV Mask Defect Reduction : Status and Challenges Brian BC Cha*, Inyong Kang, Wonsuk Ahn, Sanghyun Kim, Hwanseok Seo, Suyoung Lee, Hanshin Lee, Sungmin Huh, Wonil Cho, Jihoon Na, Hoon Kim, *bccha@samsung.com

More information

Analog Semiconductor Leaders Forum. Dongbu HiTek s. Analog Manufacturing Competitiveness. Shaunna Black SVP Manufacturing Division

Analog Semiconductor Leaders Forum. Dongbu HiTek s. Analog Manufacturing Competitiveness. Shaunna Black SVP Manufacturing Division Analog Semiconductor Leaders Forum Dongbu HiTek s Analog Manufacturing Competitiveness Shaunna Black SVP Manufacturing Division Introduction Dongbu HiTek Manufacturing Division One of the Top 5 Semiconductor

More information

All-wet stripping process for highly implanted photoresist

All-wet stripping process for highly implanted photoresist All-wet stripping process for highly implanted photoresist Close Executive OVERVIEW A new all-wet stripping process eliminates the need for dry plasma ashing processes in the removal of highly implanted

More information

Lessons Learned from SEMATECH s Nanoimprint Program

Lessons Learned from SEMATECH s Nanoimprint Program Accelerating the next technology revolution Lessons Learned from SEMATECH s Nanoimprint Program Matt Malloy Lloyd C. Litt Mac Mellish 10/19/11 Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo

More information

Click to edit Master title style

Click to edit Master title style Applying the CMOS Test Flow to MEMS Click to edit Master title style Manufacturing Mike Daneman InvenSense, Inc. Overview InvenSense Overview Test vs. Fabrication Model CMOS Model Traditional MEMS Model

More information

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack 1 3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack Advantest Corporation 2 The final yield Any Multi-die Product Must Consider the Accumulated Yield Assume Test Can Provide 99% Die

More information

Signature Failure Analysis-Based Methodology for Customer Failure Analysis

Signature Failure Analysis-Based Methodology for Customer Failure Analysis Signature Failure Analysis-Based Methodology for Customer Failure Analysis SEMATECH and the SEMATECH logo are registered service marks of SEMATECH, Inc. and the logo are registered service marks of, Inc.,

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

DRIVING SEMICONDUCTOR MANUFACTURING BUSINESS PERFORMANCE THROUGH ANALYTICS

DRIVING SEMICONDUCTOR MANUFACTURING BUSINESS PERFORMANCE THROUGH ANALYTICS www.wipro.com DRIVING SEMICONDUCTOR MANUFACTURING BUSINESS PERFORMANCE THROUGH ANALYTICS Manoj Ramanujam Table of Contents 03... Introduction 03... Semiconductor Industry Overview 05... Data Sources and

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Ten Requirements for Effective Process Control

Ten Requirements for Effective Process Control Published in ASQ Quality Progress and won the Paper of the Year Award in 2002. Ten Requirements for Effective Process Control Thomas A. Little Ph.D. Thomas A. Little Consulting 12401 N Wildflower Lane

More information

p. 57 p. 89 p. 97 p. 119

p. 57 p. 89 p. 97 p. 119 Preface Program Committee Members Transistor Physics History John Bardeen and Transistor Physics p. 3 Challenges p. xiii p. xv Technology in the Internet Era p. 33 Metrology Needs and Challenges for the

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

FOR SEMICONDUCTORS 2007 EDITION

FOR SEMICONDUCTORS 2007 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2007 EDITION YIELD ENHANCEMENT THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Quality in Electronic Production has a Name: Viscom. System Overview

Quality in Electronic Production has a Name: Viscom. System Overview Quality in Electronic Production has a Name: Viscom System Overview Successful along the whole line with Viscom Circuit board manufacturing Paste print Finish S3070 AFI-Scan S3054QS Paste print Thick film

More information

Key Electronic Products Driving Notable DFT Methodologies

Key Electronic Products Driving Notable DFT Methodologies Key Electronic Products Driving Notable DFT Methodologies May 2016 Kurian Varghese DFT Applications Engineer Key Test Technology Milestones Provided Universal Benefits Scan Design, circa 1980 Enabled broad

More information

SEMI Networking Day 2013 Rudolph Corporate Introduction

SEMI Networking Day 2013 Rudolph Corporate Introduction SEMI Networking Day 2013 Rudolph Corporate Introduction Rudolph Technologies: Corporate Profile Business: Semiconductor capital equipment company dedicated exclusively to inspection, advanced packaging

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

IoT for SECS and Non-SECS Equipment in Semiconductor Backend Manufacturing. WOI Teck Khiong (Infineon) Maiko Kenner (PEER Group)

IoT for SECS and Non-SECS Equipment in Semiconductor Backend Manufacturing. WOI Teck Khiong (Infineon) Maiko Kenner (PEER Group) IoT for SECS and Non-SECS Equipment in Semiconductor Backend Manufacturing WOI Teck Khiong (Infineon) Maiko Kenner (PEER Group) Table of Contents - Company Information - Industry Revolution and Status

More information

Table of Contents Radiation Testing

Table of Contents Radiation Testing RADIATION OWNER S MANUAL Table of Contents Radiation Testing Page Test Philosophy 31 National s Radiation Effects Laboratories 31 All About National s South Portland, Maine, REL 31 Certification Maine

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

EUV Technology, Martinez, CA

EUV Technology, Martinez, CA Compact in-line EUV Laser Plasma Reflectometer for the measurement reflectivity and uniformity of EUV Lithography Mask Blank Multilayer Coatings Rupert C. C. Perera & James H. Underwood EUV Technology,

More information

A PACKAGING PHYSICS OF FAILURE BASED TESTING METHODOLOGY FOR SEMICONDUCTOR IC PART RELIABILITY ASSESSMENT

A PACKAGING PHYSICS OF FAILURE BASED TESTING METHODOLOGY FOR SEMICONDUCTOR IC PART RELIABILITY ASSESSMENT As originally published in the SMTA Proceedings. A PACKAGING PHYSICS OF FAILURE BASED TESTING METHODOLOGY FOR SEMICONDUCTOR IC PART RELIABILITY ASSESSMENT Jingsong Xie and Ming Sun RelEng Technologies,

More information

Passive components : 5 years failure analysis feedback From all markets

Passive components : 5 years failure analysis feedback From all markets 2 nd SPCD 12-14 October 2016 Passive components : 5 years failure analysis feedback From all markets Eric ZAIA (Material Engineer) Béatrice MOREAU (Passive components & PCB dpt. Manager) SUMMARY 1 Introduction

More information

A CHECKLIST FOR QUALITY. Seven Questions to Gauge Quality in Metal Stamping Suppliers

A CHECKLIST FOR QUALITY. Seven Questions to Gauge Quality in Metal Stamping Suppliers A CHECKLIST FOR QUALITY Seven Questions to Gauge Quality in Metal Stamping Suppliers The Value of Quality Quality. It s the #1 concern for OEM engineering and purchasing managers when evaluating and measuring

More information

ZERO DEFECTS Entegris Newsletter

ZERO DEFECTS Entegris Newsletter July 215 CONTENTS 1. Entegris News Entegris Reaches Milestones at its i2m Center for Advanced Materials Science Entegris @ SEMICON West 2. Process Stability InVue CR288 Concentration Monitor Application

More information

Identification of Rogue Tools and Process Stage Drift by using JMP Software Visualization and Analytical Techniques

Identification of Rogue Tools and Process Stage Drift by using JMP Software Visualization and Analytical Techniques September 16 th, 2010 Identification of Rogue Tools and Process Stage Drift by using JMP Software Visualization and Analytical Techniques Jim Nelson Engineering Data Specialist, Freescale Semiconductor,

More information

SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age

SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age New S U B S TIdeas R A T E Sfor New Materials SOI: Challenges and Solutions to Increasing Yield in an Ultrathin Age Christophe Maleville and George Celler, Soitec Silicon-on-insulator (SOI) wafers are

More information

Image Capture, Processing and Analysis of Solar Cells for Engineering Education

Image Capture, Processing and Analysis of Solar Cells for Engineering Education Paper ID #13580 Image Capture, Processing and Analysis of Solar Cells for Engineering Education Dr. Michael G Mauk P.E., Drexel University Dr. Richard Chiou, Drexel University (Eng. & Eng. Tech.) c American

More information

WHITE PAPER. HCL ERP Implementation in the Fabless Semi-conductor Manufacturing Industry

WHITE PAPER. HCL ERP Implementation in the Fabless Semi-conductor Manufacturing Industry WHITE PAPER HCL ERP Implementation in the Fabless Semi-conductor Manufacturing Industry Table of Contents Introduction 3 Fabless Manufacturing Overview 4 Fabless Model in Semi-conductor Manufacturing 5

More information

Alternatives to Vertical Probing

Alternatives to Vertical Probing Alternatives to Vertical Probing Philip W. Seitzer Distinguished Member of Technical Staff Equipment Engineering & Development Lucent Technologies, Allentown, PA 6/4/00 1 Outline Vertical Probing Background

More information

Changing Test and Data Modeling Requirements for Screening Latent Defects as Statistical Outliers

Changing Test and Data Modeling Requirements for Screening Latent Defects as Statistical Outliers Latent-Defect Screening Changing Test and Data Modeling Requirements for Screening Latent Defects as Statistical Outliers Ritesh P. Turakhia and W. Robert Daasch Portland State University Joel Lurkins

More information

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Close supply chain collaboration enables easy implementation of chip embedded power SiP Close supply chain collaboration enables easy implementation of chip embedded power SiP Gerald Weidinger, R&D Project Leader, AT&S AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

Laser Spike Annealing for sub-20nm Logic Devices

Laser Spike Annealing for sub-20nm Logic Devices Laser Spike Annealing for sub-20nm Logic Devices Jeff Hebb, Ph.D. July 10, 2014 1 NCCAVS Junction Technology Group Semicon West Meeting July 10, 2014 Outline Introduction Pattern Loading Effects LSA Applications

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Imprint Lithography: Getting to the Next Level

Imprint Lithography: Getting to the Next Level Imprint Lithography: Getting to the Next Level May 26 SEMECH Litho Forum James E. Ellenson; ; Ken Kramer; im S. Hostetler; Laura King; William M. ong Hewlett-Packard Company 24 Hewlett-Packard Development

More information

MICROCRACKS IN SOLAR MODULES

MICROCRACKS IN SOLAR MODULES MICROCRACKS IN SOLAR MODULES Origin, Detection and Prevention George McClellan Senior Technical Sales Manager REC Americas LLC Agenda 1. What is a Microcrack? 2. How do Microcracks occur In the factory

More information

First Electrically Pumped Hybrid Silicon Laser

First Electrically Pumped Hybrid Silicon Laser First Electrically Pumped Hybrid Silicon Laser UCSB Engineering Insights Oct 18 th 2006 Mario Paniccia Intel Corporation 1 Sept 18 th 2006 What We are Announcing Research Breakthrough: 1st Electrically

More information

Simulation-based Smart Operation Management System for Semiconductor Manufacturing

Simulation-based Smart Operation Management System for Semiconductor Manufacturing Simulation-based Smart Operation Management System for Semiconductor Manufacturing Byoung K. Choi 1*, and Byung H. Kim 2 1 Dept of Industrial & Systems Engineering, KAIST, Yuseong-gu, Daejeon, Republic

More information

S8911 Practical Application of Deep Learning in Smart Factory : Visual Inspection System of Semiconductor Laser

S8911 Practical Application of Deep Learning in Smart Factory : Visual Inspection System of Semiconductor Laser GPU Technology conference 2018 in Silicon Valley S8911 Practical Application of Deep Learning in Smart Factory : Visual Inspection System of Semiconductor Laser Hiroyuki Kusaka, Masahiro Kashiwagi, Yuya

More information

Broadcom BCM Port Automotive Ethernet Switch with Five BroadR-Reach PHYs

Broadcom BCM Port Automotive Ethernet Switch with Five BroadR-Reach PHYs Broadcom BCM89501 7-Port Automotive Ethernet Switch with Five BroadR-Reach PHYs World s first Ethernet automotive solution High-performance bandwidth of 100Mbps significantly reduces connectivity costs

More information

Factory EST 1988 / PPI NY 2005 ISO Certified employees. S level reliability. Mil C Mil C 123

Factory EST 1988 / PPI NY 2005 ISO Certified employees. S level reliability. Mil C Mil C 123 Factory EST 1988 / PPI NY 2005 ISO 9001 14001 Certified +207 employees S level reliability Mil C 55681 Mil C 123 Factory Recent audit by a top German manufacturer resulted in a 93% score, best in the High

More information

SEMICONDUCTOR STANDARD VISUAL INSPECTION SPECIFICATIONS FOR MwT GaAs FETs LEVEL 1

SEMICONDUCTOR STANDARD VISUAL INSPECTION SPECIFICATIONS FOR MwT GaAs FETs LEVEL 1 APPLICATION REVISIONS NEXT ASSEMBLY USED ON LTR. DESCRIPTIONS DATE APPROVED A RELEASE/ECN#5346 5/28/98 J. BRUNO B REVISED/ECN#5984 11/26/02 S. COOPER C REVISED/ECN#6279 SEMICONDUCTOR STANDARD VISUAL INSPECTION

More information

Edge Protection of Temporarily Bonded Wafers during Backgrinding

Edge Protection of Temporarily Bonded Wafers during Backgrinding 10.1149/1.3096533 The Electrochemical Society Edge Protection of Temporarily Bonded Wafers during Backgrinding Dongshun Bai a, Xing-Fu Zhong a, Rama Puligadda a, Jurgen Burggraf b, Daniel Burgstaller b,

More information

Modeling for DFM / DFY

Modeling for DFM / DFY Modeling for DFM / DFY A Foundry Perspective Walter Ng Senior Director, Platform Alliances 5/24/07 2006 Chartered Semiconductor Manufacturing Ltd. All rights reserved. No part or parts hereof may be reproduced,

More information

改變世界就是要你 台積電北美徵才. Date & Location. Interview Arrangement Come talk with us to see how tsmc can shape your career in a positive way

改變世界就是要你 台積電北美徵才. Date & Location. Interview Arrangement Come talk with us to see how tsmc can shape your career in a positive way 台積電北美徵才 改變世界就是要你 Date & Location 4/9-10 Purdue U. 4/11-12 U. of Michigan 4/11-13 San Jose 4/13-14 U. Penn 4/14-15 UCLA 4/16-17 MIT 4/16-17 UT Austin 4/18 Columbia U. Interview Arrangement Come talk with

More information

A New X-Ray CD-Metrology for Nanostructure BPM Patterns

A New X-Ray CD-Metrology for Nanostructure BPM Patterns A New X-Ray CD-Metrology for Nanostructure BPM Patterns Asao Nakano VP, X-ray Research Lab. September 10, 2010 Contributors: Dr.Kazuhiko Omote Dr.Yoshiyasu Ito Rigaku Who? Worldwide Largest X-ray Scientific

More information

Strategy and Roadmap. Dr. Michael Klick. 4th Workshop on Self Excited Plasma Spectroscopy Hilton Salon Europa, April 18th, 2007, Dresden, Germany

Strategy and Roadmap. Dr. Michael Klick. 4th Workshop on Self Excited Plasma Spectroscopy Hilton Salon Europa, April 18th, 2007, Dresden, Germany Strategy and Roadmap Dr. Michael Klick 4th Workshop on Self Excited Plasma Spectroscopy Hilton Salon Europa, April 18th, 2007, Dresden, Germany Plasmetrex Business Segments Plasma metrology equipment for

More information

Optimization of Distribution Loop Filtration and Its Impact on a Copper CMP Process

Optimization of Distribution Loop Filtration and Its Impact on a Copper CMP Process Optimization of Distribution Loop Filtration and Its Impact on a Copper CMP Process SEMICON West 2004 - SEMI Technical Symposium: Innovations in Semiconductor Manufacturing (STS: ISM) Alex Pamatat*, Brian

More information

Exclusive Technology Feature. Failure Analysis On Power MOSFETs With Copper Wire Bonds. Problems Of Decapsulation.

Exclusive Technology Feature. Failure Analysis On Power MOSFETs With Copper Wire Bonds. Problems Of Decapsulation. Failure Analysis On Power MOSFETs With Copper Wire Bonds by Huixian Wu, Arthur Chiang, and David Le, Vishay Siliconix, Santa Clara, Calif. ISSUE: March 2012 Copper wire bonds are being used increasingly

More information

Manufacturing Strategy & Technology Roundtable

Manufacturing Strategy & Technology Roundtable Manufacturing Strategy & Technology Roundtable Kevin Ritchie Senior Vice President, Technology and Manufacturing Group Strategy Delivers Results Benefits continue to be demonstrated Lower, more stable

More information

Listening To the Voice of Your Product

Listening To the Voice of Your Product Listening To the Voice of Your Product White paper www.optimalplus.com LISTENING TO THE VOICE OF YOUR PRODUCT Much has been said and written about the business values of the Industrial Internet of Things

More information

MICROCRACKS IN SOLAR MODULES

MICROCRACKS IN SOLAR MODULES MICROCRACKS IN SOLAR MODULES Origin, Detection and Prevention George McClellan Senior Technical Sales Manager REC Americas LLC Agenda 1. What is a Microcrack? 2. How do Microcracks occur In the factory

More information

Markets / Customers. Fabless Semiconductor Companies Military / Space Automotive Medical IDM. 2 page 2

Markets / Customers. Fabless Semiconductor Companies Military / Space Automotive Medical IDM. 2 page 2 Company Overview a semiconductor engineering and services company Markets / Customers Fabless Semiconductor Companies Military / Space Automotive Medical IDM 2 page 2 Locations / Certifications Locations

More information

IMPACT OF CONTROL PLAN DESIGN ON TOOL RISK MANAGEMENT: A SIMULATION STUDY IN SEMICONDUCTOR MANUFACTURING

IMPACT OF CONTROL PLAN DESIGN ON TOOL RISK MANAGEMENT: A SIMULATION STUDY IN SEMICONDUCTOR MANUFACTURING Proceedings of the 2011 Winter Simulation Conference S. Jain, R.R. Creasey, J. Himmelspach, K.P. White, and M. Fu, eds. IMPCT OF CONTROL PLN DESIGN ON TOOL RISK MNGEMENT: SIMULTION STUDY IN SEMICONDUCTOR

More information

Aspects on failure modes and reliability assessment in automotive power microelectronics Gerald Dallmann

Aspects on failure modes and reliability assessment in automotive power microelectronics Gerald Dallmann Aspects on failure modes and reliability assessment in automotive power microelectronics Gerald Dallmann Division Manager, SGS INSTITUT FRESENIUS GmbH Outline Main field failure mechanisms from a perspective

More information

CHAPTER 3 EXPERIMENTAL The Scanning Electron Microscopes. first SEM is a model ES20XP scanning E-beam inspection system manufactured by

CHAPTER 3 EXPERIMENTAL The Scanning Electron Microscopes. first SEM is a model ES20XP scanning E-beam inspection system manufactured by CHAPTER 3 EXPERIMENTAL 3.1. The Scanning Electron Microscopes The SEMs used in this study are currently in common use in modern IC fabs. The first SEM is a model ES20XP scanning E-beam inspection system

More information

Status USCAR project

Status USCAR project Status USCAR project Automotive strategies for EOS problem resolution OEM s: FCA US, Ford, GM Tier1 s: Bosch, Continental, Nexteer Semi s: Infineon, NXP, Renesas Status: April 2017 USCAR EOS Initiative

More information

Quarterly Reliability Report

Quarterly Reliability Report Quarterly Reliability Report Q1 216 Data by Process Technology Publication Number Reliabilty_Report_Q1216 Revision 1 Issue Date April 15, 216 Table of Contents 1. Introduction... 3 2. QMP Tests and Test

More information

THE NEXT GENERATION OF GERMANIUM SUBSTRATES: EXPOGER

THE NEXT GENERATION OF GERMANIUM SUBSTRATES: EXPOGER THE NEXT GENERATION OF GERMANIUM SUBSTRATES: EXPOGER Pieter Arickx (1), Rufi Kurstjens (1), Wim Geens (1), Kristof Dessein (1) (1) Umicore Electro-Optic Materials, Watertorenstraat 33 B2250 Olen (Belgium),

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Wafer probe challenges for the automotive market Luc Van Cauwenberghe

Wafer probe challenges for the automotive market Luc Van Cauwenberghe Wafer probe challenges for the automotive market Luc Van Cauwenberghe ON Semiconductor Overview Automotive wafer probe requirements Results of experiments Summary Follow on Work Acknowledgements 2 Automotive

More information

SEER for Hardware Electronics and Systems Extended Capabilities

SEER for Hardware Electronics and Systems Extended Capabilities SEER for Hardware Electronics and Systems Extended Capabilities Keith Garland Andrew Langridge Bletchley Conference 2008 1 SEER for Hardware Client for Microsoft Project Keith Garland Galorath International

More information

Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design for Yield

Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design for Yield International Cooperation Forum Automotive IC-Design Challenges Strategies Trends Munich, Germany, October 25, 2005 Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design

More information

elearning Course Catalog

elearning Course Catalog Training on Camstar Products ANYTIME ANYWHERE elearning Course Catalog Notices This documentation and all materials related to the software are confidential and proprietary to Siemens Product Lifecycle

More information

OPTIMIZING DEMAND FULFILLMENT FROM TEST BINS. Brittany M. Bogle Scott J. Mason

OPTIMIZING DEMAND FULFILLMENT FROM TEST BINS. Brittany M. Bogle Scott J. Mason Proceedings of the 2009 Winter Simulation Conference M. D. Rossetti, R. R. Hill, B. Johansson, A. Dunkin and R. G. Ingalls, eds. OPTIMIZING DEMAND FULFILLMENT FROM TEST BINS Brittany M. Bogle Scott J.

More information

Issue 51 September 2013

Issue 51 September 2013 Laser Decapsulation By Christopher Henderson Historically, failure analysts used either mechanical or chemical means to decapsulate integrated circuits. They used primarily mechanical means on hermetically-sealed

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

Test Flow for Advanced Packages (2.5D/SLIM/3D)

Test Flow for Advanced Packages (2.5D/SLIM/3D) 1 Test Flow for Advanced Packages (2.5D/SLIM/3D) Gerard John Amkor Technology Inc. Gerard.John@amkor.com 2045 East Innovation Circle, Tempe, AZ 85284, USA Phone: (480) 821-5000 ADVANCED PACKAGE TEST FLOW

More information

Chapter 5 Introduction to Statistical Quality Control, 7th Edition by Douglas C. Montgomery. Copyright (c) 2012 John Wiley & Sons, Inc.

Chapter 5 Introduction to Statistical Quality Control, 7th Edition by Douglas C. Montgomery. Copyright (c) 2012 John Wiley & Sons, Inc. 1 Learning Objectives 2 Basic SPC Tools 3 5.2 Chance and Assignable Causes of Variation A process is operating with only chance causes of variation present is said to be in statistical control. A process

More information

Design Virtualization and Its Impact on SoC Design

Design Virtualization and Its Impact on SoC Design Design Virtualization and Its Impact on SoC Design Advanced SoC projects present the designer with a large number of options for technology, IP, foundation libraries, memory and operating conditions. Finding

More information

3D Stacked Integrated Circuit (3DS-IC) Standardization. Yann Guillou - SEMI

3D Stacked Integrated Circuit (3DS-IC) Standardization. Yann Guillou - SEMI 3D Stacked Integrated Circuit (3DS-IC) Standardization Yann Guillou - SEMI SEMICON Europa 2012 Outline SEMI Standard program 3DS-IC Standardization Committee Charter Organization Task force overview On-going

More information

Analysis of plating grain size effect on whisker

Analysis of plating grain size effect on whisker Journal of Mechanical Science and Technology 23 (2009) 2885~2890 Journal of Mechanical Science and Technology www.springerlink.com/content/1738-494x DOI 10.1007/s12206-009-0720-x Analysis of plating grain

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Big Data and Statistical Process Control. By Marc Schaeffers

Big Data and Statistical Process Control. By Marc Schaeffers Big Data and Statistical Process Control By Marc Schaeffers Big Data and Statistical Process Control INTRODUCTION Companies have been applying statistical process control (SPC) techniques since 1935. SPC

More information

The Relevance of IoT and Big Data Analytics in Semiconductor Manufacturing Duncan Lee

The Relevance of IoT and Big Data Analytics in Semiconductor Manufacturing Duncan Lee The Relevance of IoT and Big Data Analytics in Semiconductor Manufacturing Duncan Lee Intel Technology Sdn. Bhd. Manufacturing IT Principal Engineer Agenda Intel IOT Factory Story Why We Are Still Interested

More information

Status and Challenges in EUV Mask Cleaning

Status and Challenges in EUV Mask Cleaning MA-P03 Status and Challenges in EUV Mask Cleaning Ted Liang, Grace Ng, Guojing Zhang, Henry Yun, Ravi Jaiswal*, Intel Corporation * Summer Intern from Purdue University Takeya Shimomura, Toshiaki Motonaga

More information

Asset Recovery and Remarketing

Asset Recovery and Remarketing SEC/N AMC International ELA Equipment Management Conference Phoenix, Arizona - February 24, 2004 Speaker: Gary Alexander Asset Recovery and Remarketing The Semiconductor and Electronics Manufacturing Industry

More information

Infineon FS820R08A6P2B HybridPACK Drive IGBT Module The newest HybridPACK Drive power module from Infineon with EDT2 IGBT technology

Infineon FS820R08A6P2B HybridPACK Drive IGBT Module The newest HybridPACK Drive power module from Infineon with EDT2 IGBT technology Infineon FS820R08A6P2B HybridPACK Drive IGBT Module The newest HybridPACK Drive power module from Infineon with EDT2 IGBT technology With the push from various energy-saving applications, the overall IGBT

More information

Texas Instruments DRA726 Jacinto 6 Eco SoC Processor for Automotive Infotainment

Texas Instruments DRA726 Jacinto 6 Eco SoC Processor for Automotive Infotainment Texas Instruments DRA726 Jacinto 6 Eco SoC Processor for Automotive Infotainment Cost-optimized in-vehicle infotainment for entry- to mid-level automobile segments Infotainment in automobiles is growing

More information

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Jan Mulkens, Bob Streefkerk, Hans Jasper, Jos de Klerk, Fred de Jong, Leon Levasier and Martijn Leenders.

More information

DFM Challenges and Practical Solutions in 65nm and 45nm

DFM Challenges and Practical Solutions in 65nm and 45nm DFM Challenges and Practical Solutions in 65nm and 45nm NS Nagaraj, Michael Smayling, Ban P. Wong, INTRODUCTION UCSD and Blaze DFM, Inc. abk@ucsd.edu http://vlsicad.ucsd.edu/ Agenda 0930-1000 Introduction

More information

Customer Support: Leveraging Value of Ownership

Customer Support: Leveraging Value of Ownership Customer Support: Leveraging Value of Ownership Bernard Carayon SVP Customer Support WW Analyst Day, 30 September 2004 / Slide 1 Agenda! Customer Support main activities! Worldwide Organization and installed

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

Microelectronics Reliability

Microelectronics Reliability Microelectronics Reliability 52 (2012) 2627 2631 Contents lists available at SciVerse ScienceDirect Microelectronics Reliability journal homepage: www.elsevier.com/locate/microrel Investigation on CDM

More information

Project Proposal. Cu Wire Bonding Reliability Phase 3 Planning Webinar. Peng Su June 6, 2014

Project Proposal. Cu Wire Bonding Reliability Phase 3 Planning Webinar. Peng Su June 6, 2014 Project Proposal Cu Wire Bonding Reliability Phase 3 Planning Webinar Peng Su June 6, 2014 Problem Statement Background Work of the inemi Cu wire reliability project identified that bonding quality and

More information

EBITDA Optimization for High Tech Manufacturing

EBITDA Optimization for High Tech Manufacturing EBITDA Optimization for High Tech Manufacturing At a Glance This paper will help High Tech Manufacturers look at industry benchmarks to compare with their own EBITDA performance, along with industry trends

More information

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology by Meenakshi Prashant, Seung Wook Yoon, Yaojian LIN and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information