IEOR 130 Methods of Manufacturing Improvement Fall, 2016, Prof. Leachman Homework Assignment #5, Due Thursday, Oct. 6, 2016

Size: px
Start display at page:

Download "IEOR 130 Methods of Manufacturing Improvement Fall, 2016, Prof. Leachman Homework Assignment #5, Due Thursday, Oct. 6, 2016"

Transcription

1 IEOR 130 Methods of Manufacturing Improvement Fall, 2016, Prof. Leachman Homework Assignment #5, Due Thursday, Oct. 6, The management of a wafer fabrication facility is trying to sort out how much yield loss is coming from a stationary baseline distribution of defects vs. how much is coming from defect excursions and other systematic mechanisms of yield loss. A stacked wafer map of 450 wafers is analyzed including only wafers believed to not be involved in any defect excursions. Among 500 die sites on the wafer map, the best-yielding die site is one with a 65% yield, and this maximum yield is observed at only one site. The die size for the wafers in the stack is 0.5 sq cm. The average die yield over all wafers (including those involved in excursions) of this product is 35%. (a) Calculate the baseline defect-limited yield and the underlying baseline defect density. (b) Management is considering an upgrade of the air flow system costing $1.5 million. Engineering tests have been performed that indicate that this upgrade can be expected to cut baseline particle contamination on the wafers by 20%. However, particle excursions do not seem to be abated by the improved air flow. Estimate the improvement in baseline defect-limited yield and in the overall die yield if this upgrade is undertaken. (c) Management also is considering investment in a $1.5 million inspection system enabling increased process monitoring so that excursions can be detected earlier and thereby reduce yield losses. Engineering analysis and experiments indicate that total systematic and excursion yield losses could be cut 20% by this investment. Assuming the air flow system is NOT upgraded, what overall die yield would result from implementation of this inspection system? If only $1.5 million is available to spend, which is a better expenditure for improving yield the air flow system upgrade, or the new inspection system? 2. A product with 500 dice per wafer has an average die yield of 67% and a die area of 0.5 cm 2. The best die yield observed near the center of a wafer map made from 400 stacked wafers is 84%, and this yield was achieved at only one die site. (This wafer map was made from wafers in lots not subject to excursions.) (a) Estimate the stationary random yield (when systematic losses are not present), and estimate the systematic mechanisms limited yield. (b) Determine the Poisson defect density equivalent to the stationary random yield. (c) Clustering of the baseline (stationary) random defects has been studied. It has been found that the mean number of defects per die is 0.8 and the variance in the number of defects per die is 1.2. Revise the estimate of the stationary random defect density accordingly. (d) The following systematic mechanisms have been observed: 1

2 Mechanism Frequency Die Loss when occurs Edge losses all wafers 4.5% of all die on wafers Missing islands in all wafers 2.5% of all die on wafers gate photo exposure Particle excursions at 5% of lots, all wafers in 30% of the die on such wafers metal etch Particle excursions at 5% of lots, all wafers in 20% of the die on such wafers poly etch Poisoned vias 4% of lots, all wafers in 50% of the die on such wafers Clamp damage at 8% of lots, first wafer in 15% of the die on such wafers CMP Note: These failure mechanisms are not mutually exclusive, i.e., multiple failure mechanisms may be present in the same die. Assume lots have 25 wafers. What amount of systematic yield loss remains to be explained by other, unobserved mechanisms? 3. When the "O" ring in a low-pressure CVD machine fails, the machine down time averages 4.5 hours. If the "O" ring is replaced as part of weekly preventive maintenance procedures, the incremental downtime is only 0.5 hours. Data on the lifetimes of "O" rings in this machine type are as follows. (The notation pt denotes the fraction of the "O" ring population that fails during the t th week of operation.) t pt What policy for "O" ring replacement will maximize machine availability? 4. It is desired to schedule preventive maintenance (PM) procedures for a particular type of wafer processing machine. The expected cost to repair the machine after it goes down while in service is $200. The expected cost to perform a PM on a machine in working order is $50. Data on machine failures is as follows: 2

3 Number of Probability Days of Service of Failure (a) Determine the frequency at which the PM should be scheduled to minimize the expected costs. (b) During each PM or repair of the machine, the machine is thoroughly cleaned in order to requalify it for production. Immediately after such a clean, the die yield of the entire manufacturing process is 50%. With each additional day of service since the last clean, the machine becomes increasingly dirty. The increase in the number of fatal particles deposited on wafers is estimated to be 0.2 particles per sq cm per 24 hours. The fab makes only 10 wafers per day; each wafer has 100 die on it, and the good die sell for $2 apiece. The die area is 0.5 sq cm. In light of this new data, determine which is the best PM frequency: same as your answer to (a), or perform a PM every day? (Hint: Estimate the revenue loss resulting from the lower die yield and include this cost in the cost rate formula.) 5. A certain type of processing machine is subjected to three preventive maintenance procedures. The first procedure is performed once per week (the weekly PM). The second procedure is performed once every four weeks (the monthly PM). The third procedure is performed once every 12 weeks (the quarterly PM). Management needs to decide which wearable components of the machine should be replaced in each procedure. The machine includes many different kinds of components, each subject to random failure. When any component fails, 6.5 hours is required to take the machine out of service, replace the failed component and re-qualify the machine for production. Each kind of component has a 5 percent chance of failing during the t th week of service after replacement, t = 1, 2,..., 20. (No component survives longer than 20 weeks.) For each kind of component, there are only four possible maintenance strategies: (i) Planned replacement in weekly PM (ii) Planned replacement in monthly PM (iii) Planned replacement in quarterly PM (iv) No planned replacement, replace only when fails. 3

4 It is not allowed to schedule planned replacements at frequencies other than the above choices, e.g., one cannot schedule a planned replacement once every two weeks. Let c2 denote the incremental time required to replace a given component as part of any PM procedure. (The actual values of c2 vary over the many kinds of components, from seconds to hours.) Determine ranges on c2 for which each of the four possible maintenance strategies above are optimal. 6. A certain type of processing machine is subjected to three preventive maintenance procedures. The first procedure is performed once per week (the weekly PM). The second procedure is performed once every four weeks (the monthly PM). The third procedure is performed once every 12 weeks (the quarterly PM). Management needs to decide which wearable components of the machine should be replaced in each procedure. The machine includes many different kinds of components, each subject to random failure. When any component fails, 6.5 hours is required to take the machine out of service, replace the failed component and re-qualify the machine for production. The incremental time to replace each kind of component as part of any PM procedure is 0.5 hours. The maximum lifetime is different for each kind of component. Assume each component has an equal chance of failing in any week up to its maximum lifetime. For each kind of component, there are only four possible maintenance strategies: (i) Planned replacement in weekly PM (ii) Planned replacement in monthly PM (iii) Planned replacement in quarterly PM (iv) No planned replacement, replace only when fails. It is not allowed to schedule planned replacements at frequencies other than the above choices, e.g., one cannot schedule a planned replacement once every two weeks. Let τ denote the maximum lifetime of a given component. Determine ranges on τ for which each of the four possible maintenance strategies above are optimal. (Hint: To obtain closed-form expressions, you can make use of the following formula: t k = 1 t k = ( t + 1) To solve completely, you will need to apply the quadratic formula. You can receive almost full credit if for each maintenance strategy you express a functional inequality that τ must satisfy in order for that strategy to be optimal.) A diffusion furnace performs polysilicon depositions on four lots of wafers in one machine cycle. A machine cycle lasts 10 hours. At the start of the machine cycle, the load lock of the furnace is pumped down to vacuum. The load lock to the furnace incorporates an O ring that is 4

5 subject to failure. When the O ring fails, all 4 lots become contaminated and must be thrown out. It is not possible to determine if the O ring has failed until after the machine cycle is completed, at which point it is obvious if the O ring failed or not. When the O ring fails or when it is replaced before failure, it takes 10 hours to replace it and requalify the furnace for more production. Data on O ring lifetimes is as follows: # of furnace cycles, n fraction that fail in cycle n (a) Suppose the furnace is the bottleneck and it never has any idle time. In order to maximize the output rate, after how many furnace cycles should a planned replacement of the O ring occur? (b) Now suppose the furnace is not the bottleneck and it has considerable idle time. Will the best number of cycles until a planned O ring replacement become larger, or will it become smaller? Explain briefly. Do not solve numerically. 8. There are 200 electrical resistors wired into the circuitry of a burn-in board used in device testing. Because of small impacts experienced during handling of the boards, the resistors work loose and ultimately blow out. Replacing a blown resistor on a board costs $100; replacing the entire burn-in board costs $7,500. It is estimated that a random resistor has the following failure distribution: Month Prob. of Failure in that Month (a) If there is no replacement of burn-in boards, just replacement of blown out resistors, what is the expected cost per month? (b) Should there be planned replacements of burn-in boards? How often? Identify the cost savings compared to the policy in part (a). 5

6 9. A data-entry software application has been installed on 1,000 personal computers in a large semiconductor company. The application is run continuously on all 1,000 computers. Unfortunately, the application incorporates a random bug. This bug can lock up a computer and force it to be re-booted. Considering the time to re-enter lost data as well as the time to re-boot, log in again and re-open the application, there is an average of 40 minutes of down time on a computer every time the computer locks up. It is possible to re-install the application on all 1,000 computers at once by broadcasting it over the company s local area network, but all users would have to be logged off while this is done. Considering time to close the application, log off, log back on and re-open the application, the down time to re-install the application is 5 minutes on all computers. Data concerning the time until lock-up has been monitored at a number of computers, with the following results: Days of operation since last re-boot or re-install Probability of lock-up In order to minimize total computer down time per day, should the company periodically reinstall the application? How often? 6

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017 IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017 1. The thickness of a film deposited on wafers at a particular process step is subject

More information

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part II of Course Prof. Leachman Fall, 2017

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part II of Course Prof. Leachman Fall, 2017 IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part II of Course Prof. Leachman Fall, 2017 1. For a particular semiconductor product, the customer orders received to date are

More information

Closed-Loop Measurement of Equipment Efficiency and Equipment Capacity. Prof. Rob Leachman University of California at Berkeley

Closed-Loop Measurement of Equipment Efficiency and Equipment Capacity. Prof. Rob Leachman University of California at Berkeley Closed-Loop Measurement of Equipment Efficiency and Equipment Capacity Prof. Rob Leachman University of California at Berkeley Introduction Important concept from "TPM" paradigm: Overall Equipment Efficiency

More information

Process Development and Process Integration of Semiconductor Devices

Process Development and Process Integration of Semiconductor Devices Process Development and Process Integration of Semiconductor Devices Mark T. Tinker, Ph.D. Department of Electrical Engineering University of Texas at Dallas Process Development Activities Worked in Process

More information

Process Control and Yield Management Strategies in HBLED Manufacturing

Process Control and Yield Management Strategies in HBLED Manufacturing Process Control and Yield Management Strategies in HBLED Manufacturing Srini Vedula, Mike VondenHoff, Tom Pierson, Kris Raghavan KLA-Tencor Corporation With the explosive growth in HBLED applications including

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Total Cost of Operations TCO

Total Cost of Operations TCO Total Cost of Operations TCO Agenda Introduction Objectives Elements of TCO ABC Costing TCO in a working Fab Fab Cost Product Margin Building TCO Model Summary Introduction Total Cost of Operations is

More information

MOSFET. n+ poly Si. p- substrate

MOSFET. n+ poly Si. p- substrate EE143 Midterm #1 Solutions Fall 2005 (maximum score is 97) Problem 1 Processing Modules and Simple Process Sequence (25 points total) The following schematic cross-section shows a MOSFET together with

More information

Assignment 10 (Solution) Six Sigma in Supply Chain, Taguchi Method and Robust Design

Assignment 10 (Solution) Six Sigma in Supply Chain, Taguchi Method and Robust Design Assignment 10 (Solution) Six Sigma in Supply Chain, Taguchi Method and Robust Design Dr. Jitesh J. Thakkar Department of Industrial and Systems Engineering Indian Institute of Technology Kharagpur Instruction

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC)

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC) Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC) Presentation for the Levitronix Conference February 1,

More information

SGS-Thomson L4990 Controller

SGS-Thomson L4990 Controller Construction Analysis SGS-Thomson L4990 Controller Report Number: SCA 9710-560 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Field Exam January Labor Economics PLEASE WRITE YOUR ANSWERS FOR EACH PART IN A SEPARATE BOOK.

Field Exam January Labor Economics PLEASE WRITE YOUR ANSWERS FOR EACH PART IN A SEPARATE BOOK. University of California, Berkeley Department of Economics Field Exam January 2017 Labor Economics There are three parts of the exam. Please answer all three parts. You should plan to spend about one hour

More information

Lessons Learned in Deploying Part Average Testing in a Production Environment

Lessons Learned in Deploying Part Average Testing in a Production Environment The World Leader in High Performance Signal Processing Solutions Lessons Learned in Deploying Part Average Testing in a Production Environment Kieran Horgan, Analog Devices Philippe Lejeune, Galaxy Semiconductor

More information

Dave Jarzynka. Brooks Semiconductor Solutions Group

Dave Jarzynka. Brooks Semiconductor Solutions Group Dave Jarzynka Brooks Semiconductor Solutions Group 1 Agenda The business today Growth drivers 2 2016 Brooks Automation, Inc. Proprietary Information Semiconductor Group at a Glance FY15 Revenue Breakdown

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

(4 Marks) (b) Enumerate the industrial applications of linear programming.

(4 Marks) (b) Enumerate the industrial applications of linear programming. 47 PAPER 5 : COST ACCOUNTING & COST SYSTEMS MAY 2003 Question No.1 is compulsory Answer any four questions from the rest. Working notes should form part of the answer. Make assumptions wherever necessary

More information

Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM

Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM Construction Analysis Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM Report Number: SCA 9412-394 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

Bearings for Extreme Special Environments (6) Present State and Future Trend of Koyo EXSEV Bearing

Bearings for Extreme Special Environments (6) Present State and Future Trend of Koyo EXSEV Bearing Bearings for Extreme Special Environments (6) Present State and Future Trend of Koyo EXSEV Bearing H. TAKEBAYASHI Outlines of Koyo EXSEV bearing series (bearings for extreme special environments) have

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Oki M A-60J 16Mbit DRAM (EDO)

Oki M A-60J 16Mbit DRAM (EDO) Construction Analysis Oki M5117805A-60J 16Mbit DRAM (EDO) Report Number: SCA 9707-545 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

When can we turn out the lights at Probe?

When can we turn out the lights at Probe? STeP Strategic Test Partners When can we turn out the lights at Probe? Ron Leckie Agenda: Processes People Software Financial Equipment A Pro-Active Step Outlook Semiconductor Manufacturing Mask Mfg.

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

DEC SA-110S StrongARM 32-Bit Microprocessor

DEC SA-110S StrongARM 32-Bit Microprocessor Construction Analysis DEC SA-110S StrongARM 32-Bit Microprocessor Report Number: SCA 9704-535 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Maximum MAX662 12V DC-DC Converter

Maximum MAX662 12V DC-DC Converter Construction Analysis Maximum MAX662 12V DC-DC Converter Report Number: SCA 9512-445 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

mywbut.com Software Reliability and Quality Management

mywbut.com Software Reliability and Quality Management Software Reliability and Quality Management 1 Software Reliability Issues 2 Specific Instructional Objectives At the end of this lesson the student would be able to: Differentiate between a repeatable

More information

EBM EVIDENCE-BASED MANAGEMENT GUIDE

EBM EVIDENCE-BASED MANAGEMENT GUIDE EBM EVIDENCE-BASED MANAGEMENT GUIDE Scrum.org August 2018 How to improve business results by measuring business value and using empirical management OVERVIEW Organizations adopting agile product delivery

More information

Analog Devices ADSP KS-160 SHARC Digital Signal Processor

Analog Devices ADSP KS-160 SHARC Digital Signal Processor Construction Analysis Analog Devices ADSP-21062-KS-160 SHARC Digital Signal Processor Report Number: SCA 9712-575 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook CMOS Fabrication Dr. Bassam Jamil Adopted from slides of the textbook CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each step, different

More information

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Construction Analysis Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Report Number: SCA 9705-539 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Solutions for Agile Semiconductor Manufacturing. Sanjiv Mittal Applied Global Services Applied Materials October 6, 2009

Solutions for Agile Semiconductor Manufacturing. Sanjiv Mittal Applied Global Services Applied Materials October 6, 2009 Solutions for Agile Semiconductor Manufacturing Sanjiv Mittal Applied Global Services Applied Materials October 6, 2009 What Is Agile Semiconductor Manufacturing? Agile (dictionary) 1: marked by ready

More information

Crystal Growth and Wafer Fabrication. K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT

Crystal Growth and Wafer Fabrication. K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT Crystal Growth and Wafer Fabrication K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT Crystal growth Obtaining sand Raw Polysilicon Czochralski Process

More information

Mosel Vitelic MS62256CLL-70PC 256Kbit SRAM

Mosel Vitelic MS62256CLL-70PC 256Kbit SRAM Construction Analysis Mosel Vitelic MS62256CLL-70PC 256Kbit SRAM Report Number: SCA 9703-499 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

EBM EVIDENCE-BASED MANAGEMENT GUIDE

EBM EVIDENCE-BASED MANAGEMENT GUIDE EBM EVIDENCE-BASED MANAGEMENT GUIDE Scrum.org January 2019 How to continuously improve business results by measuring business value and using empirical management OVERVIEW Organizations adopting agile

More information

EBM EVIDENCE-BASED MANAGEMENT GUIDE

EBM EVIDENCE-BASED MANAGEMENT GUIDE EBM EVIDENCE-BASED MANAGEMENT GUIDE Scrum.org September 2018 How to continuously improve business results by measuring business value and using empirical management OVERVIEW Organizations adopting agile

More information

Cork Regional Technical College

Cork Regional Technical College Cork Regional Technical College Bachelor of Engineering in Chemical and Process Engineering - Stage 4 Summer 1996 CE 4.8 - DECISION ANALYSIS AND OPERATIONS RESEARCH (Time: 3 Hours) Answer FOUR Questions.

More information

6. The probability that you win at least $1 both time is (a) 1/2 (b) 4/36 (c) 1/36 (d) 1/4 (e) 3/4

6. The probability that you win at least $1 both time is (a) 1/2 (b) 4/36 (c) 1/36 (d) 1/4 (e) 3/4 AP Statistics ~ Unit 3 Practice Test ANSWERS MULTIPLE CHOICE PRACTICE 1. An assignment of probability must obey which of the following? (a) The probability of any event must be a number between 0 and 1,

More information

Seven Areas of Improvement in the Business

Seven Areas of Improvement in the Business For most businesses, increasing revenue offers higher payback than reducing expense. This is especially true in businesses which have relaby Harwell Thrasher MakingITclear Seven Ways Information Technology

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Econ 792. Labor Economics. Lecture 6

Econ 792. Labor Economics. Lecture 6 Econ 792 Labor Economics Lecture 6 1 "Although it is obvious that people acquire useful skills and knowledge, it is not obvious that these skills and knowledge are a form of capital, that this capital

More information

Managed IT Services Eliminating technology pains in small businesses

Managed IT Services Eliminating technology pains in small businesses Managed IT Services Eliminating technology pains in small businesses Sys Gear proactively handles Having a complete IT department is not a viable solution for most small businesses, and very few small

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

for higher reliability by lower costs

for higher reliability by lower costs Service Strategies for higher reliability by lower costs Joerg Recklies Director Engineering Infineon Dresden GmbH Content Todays Challenges Existing Strategies Reliability Centered Optimization / Review

More information

Before Reliability-Centered Maintenance. The Manufacturing Enterprise

Before Reliability-Centered Maintenance. The Manufacturing Enterprise Before Reliability-Centered Maintenance. The Manufacturing Enterprise By Alexander D. Douglas Jr., Manager of Equipment Reliability and Maintenance, JDS Uniphase, Flex Products Santa Rosa, California Key

More information

Energy Efficient PFC Reduction Technologies and other Energy Saving Solutions Andreas Neuber

Energy Efficient PFC Reduction Technologies and other Energy Saving Solutions Andreas Neuber Energy Efficient PFC Reduction Technologies and other Energy Saving Solutions Andreas Neuber Head Fab Environmental Solutions, Applied Materials AGS/EPG/FES Executive summary Today, carbon footprint reduction

More information

Modeling, Analysis, Simulation and Control of Semiconductor Manufacturing Systems: A Generalized Stochastic Colored Timed Petri Net Approach

Modeling, Analysis, Simulation and Control of Semiconductor Manufacturing Systems: A Generalized Stochastic Colored Timed Petri Net Approach Modeling, Analysis, Simulation and Control of Semiconductor Manufacturing Systems: A Generalized Stochastic Colored Timed Petri Net Approach Ming-Hung Lin and Li-Chen F u Dept. of Computer Science and

More information

B. What should the price of a bottle of mouthwash be so that the demand is 2000 bottles?

B. What should the price of a bottle of mouthwash be so that the demand is 2000 bottles? MA 110 TEST 1 REVIEW WORKSHEET Name 1. The weekly demand for mouthwash in a chain of drug stores is 1,160 bottles at a price of $3.79 per bottle. If the price is lowered to $3.59, the weekly demand increases

More information

Semiconductor device fabrication

Semiconductor device fabrication REVIEW Semiconductor device fabrication is the process used to create the integrated circuits (silicon chips) that are present in everyday electrical and electronic devices. It is a multiplestep sequence

More information

Enabling Bigger Waves of Growth

Enabling Bigger Waves of Growth Enabling Bigger Waves of Growth Dan Durn Chief Financial Officer 2017 ANALYST DAY External Use Forward-Looking Statements and Other Information This presentation contains forward-looking statements, including

More information

SGS-Thomson M28C K EEPROM

SGS-Thomson M28C K EEPROM Construction Analysis SGS-Thomson M28C64-121 64K EEPROM Report Number: SCA 9710-559 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

GREEN CLEANING MINIMIZE VOC S, EH&S ISSUES AND COST

GREEN CLEANING MINIMIZE VOC S, EH&S ISSUES AND COST GREEN CLEANING MINIMIZE VOC S, EH&S ISSUES AND COST 02 OUTLINE Your Customers are Environmentalists The Sustainability challenge VOC Emissions & related consequences IPA is a major source of VOC s Why

More information

If you have never done this before - go to CASA for fingerprint/picture process before your test date.

If you have never done this before - go to CASA for fingerprint/picture process before your test date. MATH 33 Review for Test - When: Where: CASA Testing Center Time: minutes Number of questions: 3 Multiple Choice Questions (total: 84 points) Free Response Questions (total: 6 points) For the free response

More information

Autumn 2012 ST370 Version BETA QUIZ 2 Dr. C. E. Smith, 1.5 hour, 1 sheet notes, ok calculator&tables

Autumn 2012 ST370 Version BETA QUIZ 2 Dr. C. E. Smith, 1.5 hour, 1 sheet notes, ok calculator&tables Autumn 01 ST370 Version BETA QUIZ Dr. C. E. Smith, 1.5 hour, 1 sheet notes, ok calculator&tables NAME ID # I will neither give nor receive help from other students during this quiz Sign PROBLEMS 1 & :

More information

CH (8) Hot Topics. Quality Management

CH (8) Hot Topics. Quality Management CH (8) Hot Topics Quality Management 1 CH ( 8 ) Quality Management 2 Introduction This knowledge area requires you to understand three processes, as described in the book PMP : Plan Quality Management,

More information

Motorola MC68360EM25VC Communication Controller

Motorola MC68360EM25VC Communication Controller Construction Analysis EM25VC Communication Controller Report Number: SCA 9711-562 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Managed IT Services. Eliminating technology pains in small businesses

Managed IT Services. Eliminating technology pains in small businesses Eliminating technology pains in small businesses Having a complete IT department is not a viable solution for most small businesses, and very few small companies can afford to deploy even one permanent

More information

Exam 1 Friday Sept 22

Exam 1 Friday Sept 22 Exam 1 Friday Sept 22 Students may bring 1 page of notes Next weeks HW assignment due on Wed Sept 20 at beginning of class No 5:00 p.m extension so solutions can be posted Those with special accommodation

More information

Corial PS200 4-sided multi-module platform

Corial PS200 4-sided multi-module platform Corial PS200 4-sided multi-module platform Single wafer platform equipped with 200 mm modules Integration of ICP-CVD or PECVD process chambers Fully automated platform with cassette-to-cassette handler

More information

Inventory Controls for Water and Sanitary Sewer Line Repairs Audit Follow-up

Inventory Controls for Water and Sanitary Sewer Line Repairs Audit Follow-up INTERNAL AUDIT Inventory Controls for Water and Sanitary Sewer Line Repairs Audit Follow-up Prepared by Craig Hametner, CPA, CIA, CISA, CMA, CFE City Auditor March 9, 2015 Report 201530 Table of Contents

More information

Managed IT Services. Eliminating technology pains for small businesses

Managed IT Services. Eliminating technology pains for small businesses Eliminating technology pains for small businesses Having a complete IT department is not a viable solution for most small businesses, and very few small companies can afford to deploy even one permanent

More information

The Solution to Your Fueling Needs

The Solution to Your Fueling Needs The Solution to Your Fueling Needs Welcome to Ernie s Fueling Network Thank you for your interest in Ernie s Fueling Network. We are an Industry leader in dual marketing both the CFN/FleetWide network

More information

By: Adrian Chu, Department of Industrial & Systems Engineering, University of Washington, Seattle, Washington November 12, 2009.

By: Adrian Chu, Department of Industrial & Systems Engineering, University of Washington, Seattle, Washington November 12, 2009. OPT Report By: Adrian Chu, Department of Industrial & Systems Engineering, University of Washington, Seattle, Washington 98195. November 12, 2009. The Goal Every manufacturing company has one goal to make

More information

of the International Traffic in Arms Distribution Regulations Statement: (ITAR) Public and is Release. approved for public distribution.

of the International Traffic in Arms Distribution Regulations Statement: (ITAR) Public and is Release. approved for public distribution. High-Reliability Accelerometer and Pressure Sensor Design and Test (invited paper) Presentation at the 4th Annual Conference on MEMS Testing and Reliability by Tom Kwa, Meggitt Sensing Systems October

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

The Economics of E-commerce and Technology. Reputation

The Economics of E-commerce and Technology. Reputation The Economics of E-commerce and Technology Reputation 1 Reputation Reputations are essential with experience goods Where experience good after buying Reputation performs two functions Allow people to learn

More information

Backorders case with Poisson demands and constant procurement lead time

Backorders case with Poisson demands and constant procurement lead time The Lecture Contains: Profit maximization considering partial backlogging Example for backorder cases Backorders case with Poisson demands and constant procurement lead time The lost sales case for constant

More information

Material and Contract Services LLC

Material and Contract Services LLC REFINERY MAINTENANCE SYSTEM CAPABILITIES Material and Contract Services, LLC has the knowledge, resources and experience to reduce maintenance cost, improve employee productivity and equipment operating

More information

In this Part 6 we will cover:

In this Part 6 we will cover: August 2007 Ten Steps to Comprehensive Project Portfolio Management Part 6 Tips on Steps 8 & 9 By R. Max Wideman This series of papers has been developed from our work in upgrading TenStep's PortfolioStep.

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Altera EPM7128SQC EPLD

Altera EPM7128SQC EPLD Construction Analysis Altera EPM7128SQC160-15 EPLD Report Number: SCA 9712-569 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

In this chapter we will study problems for which all the algebraic expressions are linear, that is, of the form. (a number)x +(a number)y;

In this chapter we will study problems for which all the algebraic expressions are linear, that is, of the form. (a number)x +(a number)y; Chapter 9 Linear programming (I) 9.1 Introduction Decision making is a process that has to be carried out in many areas of life. Usually there is a particular aim in making one decision rather than another.

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Managerial Economics Prof. Trupti Mishra S. J. M. School of Management Indian Institute of Technology, Bombay

Managerial Economics Prof. Trupti Mishra S. J. M. School of Management Indian Institute of Technology, Bombay Managerial Economics Prof. Trupti Mishra S. J. M. School of Management Indian Institute of Technology, Bombay Lecture - 2 Introduction to Managerial Economics (Contd ) So, welcome to the second session

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC.

EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC. EPA GHG MANDATORY REPORTING RULE AND TAILORING RULE MIKE SHERER SHERER CONSULTING SERVICES, INC. FINAL MANDATORY GHG REPORTING RULE SUBPART A Subpart A is General Provisions. Includes who must report;

More information

Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System

Performance, Reliability, and Versatility. Transpector CPM. Fast, Field-ready Process Monitoring System Performance, Reliability, and Versatility Transpector CPM Fast, Field-ready Process Monitoring System Precision measurement for modern semiconductor processes INFICON Transpector CPM has been the films.

More information

Prof. Rob Leachman University of California at Berkeley Leachman & Associates LLC

Prof. Rob Leachman University of California at Berkeley Leachman & Associates LLC Cycle Time Management Prof. Rob Leachman University of California at Berkeley Leachman & Associates LLC October 25, 2016 Oct. 26, 2016 Leachman - Cycle Time 1 Agenda Definitions of cycle time Measures

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

UMC UM F-7 2M-Bit SRAM

UMC UM F-7 2M-Bit SRAM Construction Analysis UMC UM 613264F-7 2M-Bit SRAM Report Number: SCA 9609-511 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

The Improvement of Maintenance System and Documentation

The Improvement of Maintenance System and Documentation The Improvement of Maintenance System and Documentation Supachai TAEWANG, Thammasat University, Thailand. E-mail: s_taewang@yahoo.co.th Sawat PARARACH, Thammasat University, Thailand. E-mail: psawat@engr.tu.ac.th

More information

SGS-Thomson M17C1001 1Mb UVEPROM

SGS-Thomson M17C1001 1Mb UVEPROM Construction Analysis SGS-Thomson M17C1001 1Mb UVEPROM Report Number: SCA 9612-518 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780

More information

Maintenance Management for Reliability Training Course Day 1 Content. Disclaimer slides do not replace education, training, skills and experience

Maintenance Management for Reliability Training Course Day 1 Content. Disclaimer slides do not replace education, training, skills and experience Course Content Maintenance Management for Reliability Training Course Day 1 Content Introduction to Equipment Reliability Concepts Disclaimer slides do not replace education, training, skills and experience

More information

NKK NR4645LQF Bit RISC Microprocessor

NKK NR4645LQF Bit RISC Microprocessor Construction Analysis NKK NR4645LQF-133 64-Bit RISC Microprocessor Report Number: SCA 9707-547 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9870

More information

Accounting Technicians Ireland 2 nd Year Examination: Summer 2016 Paper: MANAGEMENT ACCOUNTING

Accounting Technicians Ireland 2 nd Year Examination: Summer 2016 Paper: MANAGEMENT ACCOUNTING Accounting Technicians Ireland 2 nd Year Examination: Summer 2016 Paper: MANAGEMENT ACCOUNTING Monday 16 May 2016 2.30 p.m. to 5.30 p.m. INSTRUCTIONS TO CANDIDATES In this examination paper the symbol

More information

The Complete Guide to Subscription Billing

The Complete Guide to Subscription Billing G The Complete Guide to Subscription Billing Companies exploring subscription billing solutions should look beyond their immediate needs to ensure they choose a platform that meets their long-term needs.

More information

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction CMOS VLSI Design Introduction ll materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN Introduction Chapter previews the entire field, subsequent chapters elaborate on specific

More information

How to become a CLTV aligned organization?

How to become a CLTV aligned organization? Abstract The significance of Customer Lifetime Value (CLTV) is now being increasingly acknowledged among the decision makers around the world. However, only a few actually take the plunge and implement

More information