Transport properties of polycrystalline silicon films

Size: px
Start display at page:

Download "Transport properties of polycrystalline silicon films"

Transcription

1 Transport properties of polycrystalline silicon films G. Baccarani, B. Riccò, and G. Spadini Citation: Journal of Applied Physics 49, 5565 (1978); doi: 163/ View online: View Table of Contents: Published by the AIP Publishing Articles you may be interested in Charge transport in polycrystalline silicon thin-films on glass substrates J. Appl. Phys. 112, (212); 163/ Electron transport and band structure in phosphorus-doped polycrystalline silicon films J. Appl. Phys. 15, (29); 163/ Properties of tungsten silicide film on polycrystalline silicon J. Appl. Phys. 52, 535 (1981); 163/ Carrier transport in oxygen rich polycrystalline silicon films J. Appl. Phys. 49, 469 (1978); 163/ The electrical properties of polycrystalline silicon films J. Appl. Phys. 46, 5247 (1975); 163/

2 Transport properties of polycrystalline silicon films G. Baccarani and B. Ricco Istituto di Elettronica, Universita di Bologna, Italy G. Spadini a ) C.N.R.-Laboratorio LA MEL, Via Castagnoli, 1, Bologna, Italy (Received 19 September 1977; accepted for publication 4 January 1978) The transport properties of polycrystalline silicon films are examined and interpreted in terms of a modified grain-boundary trapping model. The theory has been developed on the assumption of both a 8- shaped and a uniform energy distribution of interface states. A comparison with experiments indicates that the interface states are nearly monovalent and peaked at midgap. Their density is 3.8 X 1'2 cm -2, in accordance with carrier-lifetime measurements performed on CVD films. PACS numbers: n.2.fr, n.8.cw, 73.Fw I. INTRODUCTION The electrical properties of polycrystalline silicon films have been interpreted in terms of two distinct models: the segregation theory, 1,2 according to which impurity atoms tend to segregate at the grain boundary where they are electrically inactive, and the grainboundary trapping theory3,4 assuming the presence of a large amount of trapping states at the grain boundary able to capture, and therefore immobilize, free carriers_ These charged states at the grain boundary create potential barriers, as illustrated in Fig. 1, which oppose the passage of carriers from a grain to the neighboring ones. The basic limitation of the segregation model is that it does not explain the temperature dependence of the film resistivity which is thermally activated and exhibits a negative temperature coefficient. By combining the grain-boundary trapping model with a thermionicemission mechanism through the barriers, Set 5 has recently developed a comprehensive theory of transport phenomena in polycrystalline materials which explains most of their electrical properties. However, Seto's theory, which assumes a 6-shaped density of states at the grain boundary, does not include the possibility that these are only partially filled when the depletion region does not extend throughout the entire crystallite and is, therefore, limited to the special situation where the trap energy is smaller than the Fermi level. In this work, we propose a modified version of the grain-boundary trapping model which, in our opinion, better clarifies the electrical properties of poly crystalline silicon films in the intermediate range of impurity concentrations. We consider both the case of monovalent trapping states and that of a continuous energy distribution of interface states within the band gap. Resistivity and Hall measurements have been performed on phosphorus-doped sputter-deposited polycrystalline silicon films. Comparison between theory and experiments leads to the following main conclusions: (i) Impurity segregation and carrier trapping at the grain boundary both take place in polycrystalline silicon, at least when this is phosphorus doped, and (ii) the trapalpresent address: SGS-ATES, Agrate Brianza, Milano, Italy. ping states are nearly monovalent, and peaked about at midgap. The theoretical model is derived in Secs. II-IV. Experimental details and measurements are described in Secs. V and VI, while Sees. VII and VIII are devoted to the discussion and conclusions. II. MODIFIED VERSION OF THE GRAIN-BOUNDARY TRAPPING MODEL According to Seto's theory, the dominant transport mechanism in polycrystalline silicon films is thermionic emission over the barriers. When the voltage applied to the crystallite is small, that is V kt /q, the thermionic theory leads to the following expression of the current density: where Ee is the band-gap energy, EE is the barrier height, EF is the Fermi energy referred to the intrinsic Fermi level in the neutral region, Nc is the effective density of states relative to the conduction band, and Vc = (kt /27Tm*)1 /2 is the collection velocity. 6 The conductivity of the film is then given by (a) (b) (c) GRAIN BOUNDARY \ I CRYSTALLITE I ) I FIG. 1. Sketch of the band diagram in polycrystalline silicon films J. Appl. Phys. 49(11), November American Institute of PhYSics 5565

3 putting W=tL. We find which allows one to determine N by means of an iterative procedure. The result of such a computation is shown in Fig. 2, where Nt has been represented versus the grain size L for various trapping-state concentrations and assuming E t = O. (6) L O'-6'-"-1.L--;:5-'-1"---"4'-'----L.J1O-3 GRAIN SIZE [em 1 FIG. 2. Impurity concentration values at the onset of complete depletion versus grain size, for various monovalent tra}&pingstate densities located at midgap. where L represents the grain size. Equation (2) can also be represented in terms of the electron concentration in the neutral region no, viz" which differs from the corresponding expression adopted by Seto [Eq. (14) in Ref. 5] by the factor no/na, na being the average carrier concentration within the crystallite. Equation (2) and (3) represent the starting point for interpreting the conductivity-temperature behavior. Such an interpretation, however, requires a hypothesis as to the nature of the interface states. In what follows, we shall consider two different cases: monovalent trapping states and a continuous distribution of interface states, in each of them reaching general expressions for the conductivity-vs-temperature relationship. III. MONOVALENT TRAPPING STATES AT THE GRAIN BOUNDARY Let us assume that the grain-boundary traps consist of Nt acceptor states with energy E t referred to the intrinsic Fermi level at the interface. Electrical neutrality then requires 2ND W =Nt{l + i exp[(et + EB - E F)/kT]} l, (4) Nn being the impurity concentration and W the depletion width. Correspondingly, the barrier height, obtained integrating the Poisson equation, is given by E B =q 2 W 2 N D /2E. (5) For any assigned values of L, Nt and E t, there will be an impurity concentration N such that, when ND < N the crystallites are entirely depleted. Such an impurity concentration can be derived from Eqs. (4) and (5) by (2) (3) Figure 2 clearly shows how Nt becomes proportional to L -1 and to L -2 respectively for the smaller and larger values of L and Nt. The asymptotic behavior of Nt may be derived from Eq. (4) by assuming W = L. N5 ""NtiL for EF - E t - B»}:;T; 1'15 "" (8E/q2L2)[EG - E t + kt In(2NtlNcL)] for E t + EB - Ji F» kt. For No < NIS the energy barrier B is given by EB =q2l 2 Nv /8E. F rom the charge neutrality condition NDL =Nt[l + exp(et + EB - EF)/kT]-l, the Fermi energy is given by EF =Et + EB - kt In{2[(N t /LND) - In. By substituting Eq. (1) in Eq. (2) we find the following expression for the conductivity: (7a) (7b) (8) (9) (1) r/l 2 NcNn vc ( Ea) ( ) g= 2kT{N t _ LN n ) exp C kt ' 11 where the activation energy Ea is given by Ea =EG - E t (12) F or No 'Nt, the crystallites are partially depleted. The barrier energy is obtained from Eqs. (4) and (5), eliminating the depletion width W. We find EB = EF - E t + kt ln2[qnt/(8en OEB)l /2-1] (13) E F - E IMPURITY CONCENTRATION [cm- 3 ] FIG. 3. Barrier height versus impurity concentration for a grain size of 1-5 cm. Reference is made to monovalent trapping states at midgap J. Appl. Phys. Vol. 49. No. 11. November 1978 Baccarani. Ricco. and Spadini 5566

4 ...'!'.. - C!).7 a: 4 LLJ Z LLJ z.3 '2 <t.2 '-' <t d 8 IMPURITY CONCENTRATION [cm- 3 ] 1 19 FIG. 4. Activation energy versus impurity concentration for the same case as Fig. 3. which can be solved iteratively. The barrier height is represented versus the impurity concentration for various Nt values in Fig. 3, where it has been assumed that L=1-5 cmo For ND<Nb, EB linearly increases with N D, according to Eq. (8). For N D N;, we distinguish two situations EB "'i E G - E t + kt IntqN1/2Nt/[Nc(2EEB)1/2 j} for E t + EB - EF»kT (14a) (14b) which correspond to different behaviors of the conductivity. The boundary between the regions where the two conditions of Eqs. (14) hold is represented by a dotted line in Fig. 3: in the lower Eq. (14a) is true, and the conductivity is given by with = (llnovc/1?t) exp(- Ea/kT) E =E. a B, in the upper, instead, Eq. (14b) holds, the barrier height then is no longer temperature independent, and the conductivity becomes o=qlnvc(2eni}eb)1/2(ktntt1 exp(- Ea/kT), where the activation energy is given by Ea =ieg - E t The extension of this latter region, which has been totally neglected in Seto's theory, increases as the grain size and the density of trapping states increase. (15) (16) (17) (18) Figure 4 represents the activation energy Ea for several values of Nt again assuming L = 1-5 cm. The most remarkable feature of the calculated activation energy is the abrupt transition between ieg and EB which occurs at the onset of complete depletion for the lowest values of Nt. For the larger contents of trapping states, instead, Ea is practically continuous because, when EB + E t - EF»kT, the activation energy tends to i EG - E t for both complete and incomplete depletion of the crystallites. IV. CONTINUOUS ENERGY DISTRIBUTION OF INTERFACE STATES Let us assume now that the grain-boundary traps consist of states with a uniform density (these being acceptors in the upper half of the band gap, and denors in the lower half). The charge neutrality condition then reads IiiF-E B N (E) de 2ND W = 1 where N (E) represents the constant interface-state distribution. In Eq. (19) the integration limits are referred to the intrinsic Fermi level at the grain boundary. By substituting Eq. (5) in Eq. (19), we find the following equation in W: W2+()W_=O q N.. q ND whose physically meaningful solution is given by (19) (2) W= {[I + (q2n;.ef) 1/2 -I}. (21) q N.. 2END IJ The limiting value of the impurity concentration ND corresponding to a complete depletion of the crystallites is obtained by equating Eq. (21) to il: this gives NPJ = (")(1 + q2 L) -1 kt In() (22) which may be solved iteratively. The result of such a computation is shown in Fig. 5 versus the grain size L, for various interface-state densities. In the region of smaller Land N, Nt is proportional to L -1: Nt ""(N kt/l)ln(nt/n j ) for L 8E/lN.. ; (23a) while, in the region of larger Land N, Nt is proportional to L- 2 FIG. 5. Impurity concentration values at the onset of complete depletion versus grain size, for various interface-state densities, uniformly distributed within the band gap J. Appl. Phys., Vol. 49, No. 11, November 1978 Baccarani, Ricco, and Spadini 5567

5 4 I- - ::t: -- EF <!l.3 Uj ::t:.2 U-I <: <D Nss ' 5xlO'3 / N.2xlO' IMPURITY CONCENTRATION [cm- 3 ] FIG. 6. Barrier height versus impurity concentration for a grain size of 1-5 cm. Reference is made to a continuum of interface states. (23b) When the impurity concentration Nv < N, the crystallites are completely depleted, and the barrier height is expressed by Eq. (8). The charge neutrality condition then reads LNv =N (EF - E B ) and consequently the Fermi level is given by EF =EB +LNv/N... From Eq. (2) the film conductivity is J = (q2 LNcvc/kT) exp(- Ea/kT), where the activation energy Ea is (24) (25) (26) Ea=iA'c -LNv/N... (27) For Nv N!5, the depletion width is smaller than L, and the barrier height is represented by the expression EB =E F - [(1 + q 2 N;sEF) 1/2-1J (28) q-1v;. 2ENv which asymptotically tends to EB =q2n;se/8env for Nv»q 2 N;.EF/2E (29a) EB = EF for Nv q2 N;.EF /2E. (29b) The barrier height is represented in Fig. 6 versus the impurity concentration, for several values of the interface- state density. In the field of practical values of ND and N, the asymptotic expressions (29) represent a poor approximation of Eq. (28), which must be referred to in order to derive a correct expression of the activation energy Ea. Remembering that, in the partialdepletion case, the barrier height EB can be expressed as (3) 4EN [( q 2 N 2 E ) 1/2 ] EB""Ec--.,-d!- 1+ N c -1 -ktln(nc/nv) q-1v;. 4E v The conductivity of the film is thus given by 2LN ) (N c ) _(1+q2 N ;.EC 14eNv )_1/2] (_ En) J= q ktcl'c - [( N v exp f;;t (32) (33) Figure 7 shows the activation energy versus impurity concentration for several values of the interface-state density. As for the case of monovalent trapping states, Ea tends to iec for the smaller Nv values and is proportional to Nil for the largest impurity concentrations. The transition region between these two asymptotic behaviors, however, is far more extended that in the case of monovalent trapping states, and the abrupt transition of Ea between the complete and partial depletion cases never disappears, even at the largest interfacestate densities. V. EXPERIMENTAL (l11)-oriented p-type silicon slices were thermally oxidized to a thickness of 1 Mm at 12 e. Following the oxidation, a 5-nm polycrystalline-silicon film and a Si 2 layer of the same thickness were sputter deposited onto the oxidized silicon slices, and annealed at 11 e for 15 min, to allow for an increase of the grain size. 7 Contact windows were opened in the oxide film, and a standard P predeposition was performed at lgoooe. After removing the oxide, the sample were p+ implanted at an energy of 15 kev with doses ranging from 1 14 to 3 X 1 16 cm- 2, and subsequently annealed at 11 e for 3 min in a N2 + 1(/ Oz ambient. A second masking step was performed to define the Van der Pauw geometry. Al dots were evaporated onto the diffused areas through a metal mask suitably aligned to the Hall pattern, and a final low-temperature annealing was performed to reduce the contact resistance. Resistivity and Hall measurements were performed, the former in the temperature range 3-5 OK, the latter at room temperature. Due to intrinsic limitations - <!l L 1Q-5 cm Nss14cm-2 ev-' U-I.4 z UJ z.3 EF g I- <: :::.2 l- e..: <: Nss ' 2x1 12 / '8 119 IMPURITY CONCENTRATION [cm- 3 ] x 1-1+ q2n2e)-1/1 as c. [ ( 4ENv (31) FIG. 7. Activation energy versus impurity concentration for the same case as Fig J. Appl. Phys., Vol. 49, No. 11, November 1978 Baccarani, Ricco, and Spadini 5568

6 '7 E 12C o f Z o u... c...j 1 18 /... _-A- '-' oj a; :E -oj -oj :%: o C\J cp; 3,1" o <P- 1" cp; 3 1" A cp = 1" CP=3" D cp = FIG. 8. Experimental carrier concentration and Hall mobility versus implantation dose-film thickness ratio. The dotted line represents the empirical law ncr q,1.2. of our Hall setup, we did not succeed in measuring the carrier concentration of the two most resistive samples. X-ray diffraction measurements were performed to determine the average grain size L, which turned out to be about 3 xi cm. VI. RESULTS For a composite material, such as polycrystalline silicon, the interpretation of Hall measurements is open to several doubts. Petritz 8 showed that, for the case of a sample inhomogeneous in one direction and homogeneous in the planes normal to it, provided the carrier mobility is spatially constant, the Hall coefficient is directly related to the average carrier concentration, in both cases of current normal and parallel to that direction. Our case is more complicated due to the three-dimensional nature of the inhomogeneities and, in addition, the carrier mobility is likely to decrease in the grainboundary regions, where additional scattering mechanisms are expected to take place. In the present work, however, we simply extrapolate Petritz's result, i. e., we assume that the Hall coefficient provides the average carrier concentration. Such an assumption is likely to be better fulfilled at high doping levels, where the extension of the grain-boundary region is strongly reduced. This is the case for all the samples on which we have been able to perform Hall measurements. Figure 8 shows the experimentally determined carrier concentration and Hall mobility versus the implantation dose over film thickness ratio. Even at the largest implantation dose, corresponding to an average phosphorus concentration of 6x 1 2 cm,3, the carrier concentration turns out to be three times smaller, indicating that some segregation of the phosphorus atoms occurred during the 11 C annealing step. Under these 5569 J. Appl. Phys.. Vol. 49, No. 11, November 1978 FIG. 9. Relative variation of the film resistivity versus reciprocal measuring temperature. conditions (Dt)1/2 z 5 Mm, i. e., the diffusion length turns out to be much larger than the average grain size. Figure 9 shows the relative variation of the resistivity of the film versus reciprocal measuring temperature. Except for the most resistive sample at room temperature, resistivity exhibits a linear behavior in the usual semilog plot, indicating that the transport process in polycrystalline silicon films is thermally activated. The activation energy is plotted versus the electrically active phosphorus concentration in Fig. 1. ND has been obtained from the average carrier concentration, accounting for the partial depletion of the grains, i. e., making use of the expression na =N D [1-2W / L + (21T)1/2(L D /L) erf(eb/kt)] =N D [l- (8f.E B /L2q 2 N D )1/2 + (2 1T )1/2(L D /L) erf(eb/kt)] (34) relating impurity and average carrier concentration. In Eq. (34) L D =(EkT/q2N D )1 / 2 is the extrinsic Debye length. :.7 <.:) z.4 z 9.3 : i= u N,;3.8x1Q12 em- 2 l = 3 X 1. 6 em IMPURITY CONCENTRATION [ em- 3 ] FIG. 1 Theoretical and experimental activation energy versus impurity concentration. 8accaranl, Ricco', and Spadini 5569

7 For given values of n., L, and E B, Eq. (34) enables one to determine N v' In the calculations we have assumed L = 3x 1-6 cm, as from x-ray measurements, and EB = Ea. The relationship between electrically active impurity concentration and implantation dose was found to fit the empirical law which was extrapolated to the two most resistive samples on which we could not measure the average carrier concentration. (35) The theoretical expression of the activation energy, as obtained from the monovalent trapping-states model, is represented in Fig. 1 by the solid line. The latter has been fitted to the experimental data by adjusting the interface-state density Nt, which turned out to be 3. ax 1 12 cm- 2, and by assuming E t =. The model based on a continuous interface-state distribution failed in fitting the experimental data. On the contrary, the agreement between the monovalent trapping-states model and experiments is indeed very satisfactory except for one sample, indicating that the interface states are most probably peaked at midgap. VII. DISCUSSION The segregation model 1,2 and the grain-boundary trapping mode1 3,4 have been regarded in the past as alternative explanations of the electrical properties of polycrystalline silicon films. Hall measurements performed on phosphorus-doped sputter-deposited films provide evidence of an electrically active impurity concentration inside the grains considerably smaller than the total amount of implanted phosphorus ions. This would support the hypothesis of a considerable impurity segregation at the grain boundary. On the other hand, as already pointed out, the temperature behavior of the film conductivity is well explained with the assumption that it is essentially dominated by carrier trapping at the grain boundary. In our opinion, therefore, impurity segregation and carrier trapping should not be regarded to as alternative explanations for the electrical properties of polycrystalline silicon films, but rather as phenomena both taking place inside the material and influencing its conductivity, at least when this is phosphorus doped. In the present work we have developed two different trapping models assuming a o-shaped and a continuous density of trapping states at the grain boundary, and found that the former is in good agreement with the obtained experimental data. In addition, the activation energy at the lowest impurity concentrations, which has been consistently found to be about i EG in several works 5,9 including the present, clearly indicates that the above states are located at midgap. Such a conclusion is in disagreement with Seto's result of a trapping state for holes located at.37 ev above the valence-band edge. In our opinion, this is due to an erroneous evaluation of the average carrier concentration inside the grains [Eq. (6) in Ref. 5 J which is overestimated by the factor exp(eb /IzT). An additional difficulty associated with Seto's result is that, depending on the type of impurities, the energy level of the trapping states should be located either in the upper or in the lower half of the band gap. Finally, because of 3. ax 112_cm-2 trapping states at the grain boundary with energy E t located at midgap, it is straightforward to evaluate a carrier lifetime of the order of 1 psec, in agreement with measurements performed by Kamins. 1 Assuming instead E t =9 ev, one would find a carrier lifetime far too large (::::1 nsecl. One of the most remarkable features of the present theory is the abrupt falloff of the activation energy at the onset of complete depletion. In real structures, however, the grain size is not a constant, as assumed by the theory, but is randomly distributed around some average value. These statistical fluctuations tend to smooth such a transition, leading to an activation energy which continuously decreases as Nv increases, as observed in various experiments. 5,9 VII I. CONCLUSIONS Resistivity and Hall measurements have been performed on sputter-deposited polycrystalline silicon films implanted with phosphorus. Experimental data show evidence of some impurity segregation at the grain boundary, and indicate the presence of an interface-state peak located at midgap. In accordance with previous works, it has been found that the resistivity is thermally activated with an activation energy depending on the impurity concentration inside the crystallites, and almost equal to i EG for the smallest values of Nv. At the onset of complete depletion, Ea should fall abruptly to a smaller value; it is believed, however, that the statistical distribution of the grain size smoothes such an abrupt behavior, leading to the continuous function observed experimentally. lm.e. Cower and T.O. Sedgwick, J. Electrochem. Soc. 119, 1565 (1972). 2A. L. Fripp, J. Appl. Phys. 46, 124 (1975). 3T.I. Kamins, J. Appl. Phys. 42, 4357 (1971). 4J. Y. W. Seto, J. Electrochem. Soc. 122, 71 (1975). 5J. Y. W. Seto, J. Appl. Phys. 46, 5247 (1975). GC. R. Crowell and M. Beguwala, Solid-State Electron. 14, 1149 (1971). 7G. Baccarani, G. Masetti, M. Severi, and G. Spadini, Proc. 3rd Int. Symp. Silicon Mat. Sci. and Tech., Philadelphia, 1977 (unpublished).!r.l. Petitz, Phys. Rev. 11, 1254 (1958). T.I. Kamms, IEEE Trans. Parts Hybrids Packag. VHP-1, 221 (1974), 1J. Manoliu and T. I. Kamins, Solid-State Electron. 15, 113 (1972). 557 J. Appl. Phys., Vol. 49, No. 11, November 1978 Baccarani, Ricco, and Spadini 557

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density Jpn. J. Appl. Phys. Vol. 40 (2001) pp. 49 53 Part 1, No. 1, January 2001 c 2001 The Japan Society of Applied Physics Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis

More information

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Indian Journal of Pure & Applied Physics Vol. 42, July 2004, pp 528-532 Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors Navneet Gupta* & B P Tyagi**

More information

ELECTRICAL PROPERTIES OF POLYCRYSTALLINE SILICON IN DARK

ELECTRICAL PROPERTIES OF POLYCRYSTALLINE SILICON IN DARK CHAPTER III ELECTRICAL PROPERTIES OF POLYCRYSTALLINE SILICON IN DARK 3.1 INTRODUCTION A lot of attention is being focused on the electrical properties of PX-Silicon because of its application in integrated

More information

GRAIN BOUNDARY RECOMBINATION PROCESSES AND CARRIER TRANSPORT IN POLYCRYSTALLINE SEMICONDUCTORS UNDER OPTICAL ILLUMINATION

GRAIN BOUNDARY RECOMBINATION PROCESSES AND CARRIER TRANSPORT IN POLYCRYSTALLINE SEMICONDUCTORS UNDER OPTICAL ILLUMINATION CHAPTER V GRAIN BOUNDARY RECOMBINATION PROCESSES AND CARRIER TRANSPORT IN POLYCRYSTALLINE SEMICONDUCTORS UNDER OPTICAL ILLUMINATION 5.1 INTRODUCTION P olycrystalline semiconductors are potential candidates

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

MODELLING DOPANT DIFFUSION IN POLYSILICON. S K Jones, C Hill

MODELLING DOPANT DIFFUSION IN POLYSILICON. S K Jones, C Hill 44 SIMULATION OF SEMICONDUCTOR DEVICES AND PROCESSES Vol. 3 Edited by G. Baccarani, M. Rudan - Bologna (Italy) September 26-28,988 - Tecnoprim MODELLING DOPANT DIFFUSION IN POLYSILICON S K Jones, C Hill

More information

CHAPTER 8: Diffusion. Chapter 8

CHAPTER 8: Diffusion. Chapter 8 1 CHAPTER 8: Diffusion Diffusion and ion implantation are the two key processes to introduce a controlled amount of dopants into semiconductors and to alter the conductivity type. Figure 8.1 compares these

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Crystalline Silicon Technologies

Crystalline Silicon Technologies Crystalline Silicon Technologies in this web service in this web service Mater. Res. Soc. Symp. Proc. Vol. 1210 2010 Materials Research Society 1210-Q01-01 Hydrogen Passivation of Defects in Crystalline

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED Y. H. Lin and C. Y. Liu Department of Chemical Engineering and Materials Engineering, National Central University, Jhongli,

More information

Effect of grain boundaries on photovoltaic properties of PX-GaAs films

Effect of grain boundaries on photovoltaic properties of PX-GaAs films Indian Journal of Pure & Applied Physics Vol. 48, August 2010, pp. 575-580 Effect of grain boundaries on photovoltaic properties of PX-GaAs films M K Sharma & D P Joshi* Principal, Govt Sr Sec School Kolar,

More information

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: Chapter 4 1 CHAPTER 4: Oxidation Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: 1. mask against implant or diffusion of dopant into silicon 2. surface passivation

More information

Semiconductor Very Basics

Semiconductor Very Basics Semiconductor Very Basics Material (mostly) from Semiconductor Devices, Physics & Technology, S.M. Sze, John Wiley & Sons Semiconductor Detectors, H. Spieler (notes) July 3, 2003 Conductors, Semi-Conductors,

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization Journal of Non-Crystalline Solids 299 302 (2002) 1321 1325 www.elsevier.com/locate/jnoncrysol Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by

More information

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates.

An advantage of thin-film silicon solar cells is that they can be deposited on glass substrates and flexible substrates. ET3034TUx - 5.2.1 - Thin film silicon PV technology 1 Last week we have discussed the dominant PV technology in the current market, the PV technology based on c-si wafers. Now we will discuss a different

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Polycrystalline and microcrystalline silicon

Polycrystalline and microcrystalline silicon 6 Polycrystalline and microcrystalline silicon In this chapter, the material properties of hot-wire deposited microcrystalline silicon are presented. Compared to polycrystalline silicon, microcrystalline

More information

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers Mat. Res. Soc. Symp. Proc. Vol. 810 2004 Materials Research Society C3.5.1 oron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers M. S. Carroll 1 J. C. Sturm, Dept. of Electrical Engineering,

More information

EE CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3. Crystal Structure z a

EE CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3. Crystal Structure z a 1 EE 1 FALL 1999-00 CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3 z a B Crystal Structure z a z a C y y y A x x Cubic BCC FCC x Crystals are characterized by a unit cell

More information

PHYSICSOF SOLARCELLS. Jenny Nelson. Imperial College, UK. Imperial College Press ICP

PHYSICSOF SOLARCELLS. Jenny Nelson. Imperial College, UK. Imperial College Press ICP im- PHYSICSOF SOLARCELLS Jenny Nelson Imperial College, UK ICP Imperial College Press Contents Preface v Chapter 1 Introduction 1 1.1. Photons In, Electrons Out: The Photovoltaic Effect 1 1.2. Brief History

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon Chapter 5 Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon 5.1 Introduction In this chapter, we discuss a method of metallic bonding between two deposited silver layers. A diffusion

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Citation JOURNAL OF APPLIED PHYSICS (1995),

Citation JOURNAL OF APPLIED PHYSICS (1995), Title Copper nitride thin films prepared sputtering Author(s) MARUYAMA, T; MORISHITA, T Citation JOURNAL OF APPLIED PHYSICS (1995), Issue Date 1995-09-15 URL http://hdl.handle.net/2433/43537 Copyright

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

ECE440 Nanoelectronics. Lecture 08 Review of Solid State Physics

ECE440 Nanoelectronics. Lecture 08 Review of Solid State Physics ECE440 Nanoelectronics Lecture 08 Review of Solid State Physics A Brief review of Solid State Physics Crystal lattice, reciprocal lattice, symmetry Crystal directions and planes Energy bands, bandgap Direct

More information

MOSFET. n+ poly Si. p- substrate

MOSFET. n+ poly Si. p- substrate EE143 Midterm #1 Solutions Fall 2005 (maximum score is 97) Problem 1 Processing Modules and Simple Process Sequence (25 points total) The following schematic cross-section shows a MOSFET together with

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Chapter 18: Electrical Properties

Chapter 18: Electrical Properties Chapter 18: Electrical Properties What are the physical phenomena that distinguish conductors, semiconductors, and insulators? For metals, how is conductivity affected by imperfections, T, and deformation?

More information

Hei Wong.

Hei Wong. Defects and Disorders in Hafnium Oxide and at Hafnium Oxide/Silicon Interface Hei Wong City University of Hong Kong Email: heiwong@ieee.org Tokyo MQ2012 1 Outline 1. Introduction, disorders and defects

More information

Citation JOURNAL OF APPLIED PHYSICS (1995),

Citation JOURNAL OF APPLIED PHYSICS (1995), Title Copper nitride thin films prepared sputtering Author(s) MARUYAMA, T; MORISHITA, T Citation JOURNAL OF APPLIED PHYSICS (1995), Issue Date 1995-09-15 URL http://hdl.handle.net/2433/43537 Copyright

More information

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

Changing the Dopant Concentration. Diffusion Doping Ion Implantation Changing the Dopant Concentration Diffusion Doping Ion Implantation Step 11 The photoresist is removed with solvent leaving a ridge of polysilicon (the transistor's gate), which rises above the silicon

More information

行政院國家科學委員會補助專題研究計畫成果報告

行政院國家科學委員會補助專題研究計畫成果報告 NSC89-2215-E-009-104 89 08 01 90 07 31 Fabrication and Characterization of Low-Temperature Polysilicon Thin Film Transistors with Novel Self-Aligned Sub-Gate Structures NSC89-2215-E009-104 (FID) self-aligned

More information

Why does pyrite have a low photovoltage?

Why does pyrite have a low photovoltage? Why does pyrite have a low photovoltage? August 25, 2011 Hypothesis I: metallic phase impurities Pyrite always contains metallic FeS-type phase impurities, which somehow reduce the photovoltage Evidence

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

0HE, United Kingdom. United Kingdom , Japan

0HE, United Kingdom. United Kingdom , Japan Tel. No.: 81-45-924-5357 Fax No.: 81-45-924-5339 e-mail: tkamiya@msl.titech.ac.jp Effects of Oxidation and Annealing Temperature on Grain Boundary Properties in Polycrystalline Silicon Probed Using Nanometre-Scale

More information

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Crystalline Silicon Solar Cells With Two Different Metals Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8588,

More information

The story so far: Isolated defects

The story so far: Isolated defects The story so far: Infinite, periodic structures have Bloch wave single-particle states, labeled by a wavenumber k. Translational symmetry of the lattice + periodic boundary conditions give discrete allowed

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Chapter 18: Electrical Properties

Chapter 18: Electrical Properties Chapter 18: Electrical Properties ISSUES TO ADDRESS... How are electrical conductance and resistance characterized? What are the physical phenomena that distinguish conductors, semiconductors, and insulators?

More information

Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes

Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes Cynthia N. Ginestra 1 Michael Shandalov 1 Ann F. Marshall 1 Changhyun Ko 2 Shriram Ramanathan 2 Paul C. McIntyre 1 1 Department

More information

Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers

Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers Erten Eser, Steven S. Hegedus and Wayne A. Buchanan Institute of Energy Conversion University of Delaware, Newark,

More information

Structural changes of polycrystalline silicon layers during high temperature annealing

Structural changes of polycrystalline silicon layers during high temperature annealing Structural changes of polycrystalline silicon layers during high temperature annealing D. Lysáček, L. Válek ON SEMICONDUCTOR CZECH REPUBLIC, Rožnov p. R., david.lysacek@onsemi.com Abstract The structure

More information

The next thin-film PV technology we will discuss today is based on CIGS.

The next thin-film PV technology we will discuss today is based on CIGS. ET3034TUx - 5.3 - CIGS PV Technology The next thin-film PV technology we will discuss today is based on CIGS. CIGS stands for copper indium gallium selenide sulfide. The typical CIGS alloys are heterogeneous

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Hall coefficient, mobility and carrier concentration as a function of composition and thickness of Zn-Te thin films

Hall coefficient, mobility and carrier concentration as a function of composition and thickness of Zn-Te thin films Available online at www.pelagiaresearchlibrary.com Advances in Applied Science Research, 2015, 6(4):215-220 ISSN: 0976-8610 CODEN (USA): AASRFC Hall coefficient, mobility and carrier concentration as a

More information

Low Thermal Budget NiSi Films on SiGe Alloys

Low Thermal Budget NiSi Films on SiGe Alloys Mat. Res. Soc. Symp. Proc. Vol. 745 2003 Materials Research Society N6.6.1 Low Thermal Budget NiSi Films on SiGe Alloys S. K. Ray 1,T.N.Adam,G.S.Kar 1,C.P.SwannandJ.Kolodzey Department of Electrical and

More information

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut Process Integration Self-aligned Techniques LOCOS- self-aligned channel stop Self-aligned Source/Drain Lightly Doped Drain (LDD) Self-aligned silicide (SALICIDE) Self-aligned oxide gap MEMS Release Techniques

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Si Oxidation by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan Visiting

More information

Oxidation induced precipitation in Al implanted epitaxial silicon

Oxidation induced precipitation in Al implanted epitaxial silicon JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 7 1 OCTOBER 2000 Oxidation induced precipitation in Al implanted epitaxial silicon A. La Ferla, G. Galvagno, P. K. Giri, G. Franzò, and E. Rimini Dipartimento

More information

Crystallization of Amorphous Silicon Thin Film. by Using a Thermal Plasma Jet. Hyun Seok Lee, Sooseok Choi, Sung Woo Kim, and Sang Hee Hong*

Crystallization of Amorphous Silicon Thin Film. by Using a Thermal Plasma Jet. Hyun Seok Lee, Sooseok Choi, Sung Woo Kim, and Sang Hee Hong* Crystallization of Amorphous Silicon Thin Film by Using a Thermal Plasma Jet Hyun Seok Lee, Sooseok Choi, Sung Woo Kim, and Sang Hee Hong* Department of Nuclear Engineering, Seoul National University Seoul

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9 Issued: Tuesday, Nov. 11, 2014 PROLEM SET #9 Due: Wednesday, Nov. 19, 2010, 8:00 a.m. in the EE 143 homework box near 140 Cory 1. The following pages comprise an actual pwell CMOS process flow with poly-to-poly

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

MOS interface processing and properties utilizing Ba-interface layers

MOS interface processing and properties utilizing Ba-interface layers MOS interface processing and properties utilizing Ba-interface layers Daniel J. Lichtenwalner, Vipindas Pala, Brett Hull, Scott Allen, & John W. Palmour Power R&D, Cree, Inc. Durham, NC 27703 Partial funding

More information

ON THE HALL EFFECT AND MAGNETORESISTANCE OF Co Fe 4.5 Si B 15 Mo 2 AMORPHOUS AND CRYSTALLIZED RIBBONS

ON THE HALL EFFECT AND MAGNETORESISTANCE OF Co Fe 4.5 Si B 15 Mo 2 AMORPHOUS AND CRYSTALLIZED RIBBONS Journal of Optoelectronics and Advanced Materials Vol. 2, No. 5, 2, p. 671-675 Section 7: Non-crystalline materials ON THE HALL EFFECT AND MAGNETORESISTANCE OF Co 66.25 Fe 4.5 Si 12.25 B 15 Mo 2 AMORPHOUS

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Graduate Student Presentations

Graduate Student Presentations Graduate Student Presentations Dang, Huong Chip packaging March 27 Call, Nathan Thin film transistors/ liquid crystal displays April 4 Feldman, Ari Optical computing April 11 Guerassio, Ian Self-assembly

More information

EE THERMAL OXIDATION - Chapter 6. Basic Concepts

EE THERMAL OXIDATION - Chapter 6. Basic Concepts EE 22 FALL 999-00 THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. SiO 2 : Easily selectively etched using

More information

The Effect of Annealing on Resistivity Measurements of TiSi 2 and TiN Using the collinear Four Point Probe Technique

The Effect of Annealing on Resistivity Measurements of TiSi 2 and TiN Using the collinear Four Point Probe Technique The Effect of Annealing on Resistivity Measurements of TiSi 2 and TiN Using the collinear Four Point Probe Technique Eman Mousa Alhajji North Carolina State University Department of Materials Science and

More information

Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films

Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films Carl V. Thompson and Hang Z. Yu* Dept. of Materials Science and Engineering MIT, Cambridge, MA, USA Effects of intrinsic

More information

Synthesis and Characterization of DC Magnetron Sputtered ZnO Thin Films Under High Working Pressures

Synthesis and Characterization of DC Magnetron Sputtered ZnO Thin Films Under High Working Pressures Accepted Manuscript Synthesis and Characterization of DC Magnetron Sputtered ZnO Thin Films Under High Working Pressures M. Hezam, N. Tabet, A. Mekki PII: S0040-6090(10)00417-7 DOI: doi: 10.1016/j.tsf.2010.03.091

More information

Chapter 5. UEEP2613 Microelectronic Fabrication. Diffusion

Chapter 5. UEEP2613 Microelectronic Fabrication. Diffusion Chapter 5 UEEP613 Microelectronic Fabrication Diffusion Prepared by Dr. Lim Soo King 4 Jun 01 Chapter 5 Diffusion...131 5.0 Introduction... 131 5.1 Model of Diffusion in Solid... 133 5. Fick s Diffusion

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Characterisation of Zinc Tin Oxide Thin Films Prepared

Characterisation of Zinc Tin Oxide Thin Films Prepared Chapter 4 Characterisation of Zinc Tin Oxide Thin Films Prepared by Co-sputtering 4.1 Introduction This chapter describes the preparation of amorphous zinc tin oxide thin films by co-sputtering technique

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

OPTICAL, ELECTRICAL AND STRUCTURAL PROPERTIES OF PECVD QUASI EPITAXIAL PHOSPHOROUS DOPED SILICON FILMS ON CRYSTALLINE SILICON SUBSTRATE

OPTICAL, ELECTRICAL AND STRUCTURAL PROPERTIES OF PECVD QUASI EPITAXIAL PHOSPHOROUS DOPED SILICON FILMS ON CRYSTALLINE SILICON SUBSTRATE OPTICAL, ELECTRICAL AN STRUCTURAL PROPERTIES OF PECV QUASI EPITAXIAL PHOSPHOROUS OPE SILICON FILMS ON CRYSTALLINE SILICON SUBSTRATE Mahdi Farrokh-Baroughi, Hassan El-Gohary, and Siva Sivoththaman epartment

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

EE 330 Lecture 12. Devices in Semiconductor Processes

EE 330 Lecture 12. Devices in Semiconductor Processes EE 330 Lecture 12 Devices in Semiconductor Processes Review from Lecture 9 Copper Interconnects Limitations of Aluminum Interconnects Electromigration Conductivity not real high Relevant Key Properties

More information

SOLID SOLUTION METAL ALLOYS

SOLID SOLUTION METAL ALLOYS SOLID SOLUTION METAL ALLOYS Synergy Effects vs. Segregation Phenomena D. Manova, J. Lutz, S. Mändl, H. Neumann 1 Table of Content Motivation Alloys vs. Pure Elements or Intermetallic Compounds Introduction

More information

Visco-elastic model of the fuzz growth (P64B)

Visco-elastic model of the fuzz growth (P64B) Visco-elastic model of the fuzz growth (P64B) S. I. Krasheninnikov* University California San Diego, La Jolla, CA 92093, USA PACS numbers: 47.55.dd, 52.40.Hf Abstract The visco-elastic model of fuzz growth

More information

Controlled Gettering of Implanted Platinum in Silicon Produced by Helium Co-Implantation. Pavel Hazdra and Jan Vobecký

Controlled Gettering of Implanted Platinum in Silicon Produced by Helium Co-Implantation. Pavel Hazdra and Jan Vobecký Solid State Phenomena Online: 2003-09-30 ISSN: 1662-9779, Vols. 95-96, pp 559-564 doi:10.4028/www.scientific.net/ssp.95-96.559 Journal Citation (to be inserted by the publisher) Copyright 2004 Trans by

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

1. Model main principles

1. Model main principles SIMULATION OF SEMICONDUCTOR DEVICES AND PROCESSES Vol. 4 Edited by W. Fichtner, D. Aemmer - Zurich (Switzerland) September 12-14,1991 - Hartung-Gorre Integration in the 2D Multi-layer Simulator TITAN of

More information

Instructor: Dr. M. Razaghi. Silicon Oxidation

Instructor: Dr. M. Razaghi. Silicon Oxidation SILICON OXIDATION Silicon Oxidation Many different kinds of thin films are used to fabricate discrete devices and integrated circuits. Including: Thermal oxides Dielectric layers Polycrystalline silicon

More information

CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3. Crystal Structure z a

CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3. Crystal Structure z a CRYSTAL GROWTH, WAFER FABRICATION AND BASIC PROPERTIES OF Si WAFERS- Chapter 3 Crystal Growth, Si Wafers- Chapter 3 z a C y B z a y Crystal Structure z a y Crystals are characterized by a unit cell which

More information

Kinetics of Silicon Oxidation in a Rapid Thermal Processor

Kinetics of Silicon Oxidation in a Rapid Thermal Processor Kinetics of Silicon Oxidation in a Rapid Thermal Processor Asad M. Haider, Ph.D. Texas Instruments Dallas, Texas USA Presentation at the National Center of Physics International Spring Week 2010 Islamabad

More information

Influence of Electrical Contacts on the Performance of Diamond Radiation Detectors. Arnaldo Galbiati. Arnaldo Galbiati

Influence of Electrical Contacts on the Performance of Diamond Radiation Detectors. Arnaldo Galbiati. Arnaldo Galbiati Influence of Electrical Contacts on the Performance of Diamond Radiation Detectors Research, Development and Production: High Energy Physics Nuclear and Environmental Monitoring Radiotherapy Dosimetry

More information

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh Nucleation and growth of nanostructures and films Seongshik (Sean) Oh Outline Introduction and Overview 1. Thermodynamics and Kinetics of thin film growth 2. Defects in films 3. Amorphous, Polycrystalline

More information

Mn DOPED SnO2 Semiconducting Magnetic Thin Films Prepared by Spray Pyrolysis Method

Mn DOPED SnO2 Semiconducting Magnetic Thin Films Prepared by Spray Pyrolysis Method International Journal of Scientific & Engineering Research Volume 2, Issue 4, April-2011 1 Mn DOPED SnO2 Semiconducting Magnetic Thin Films Prepared by Spray Pyrolysis Method K.Vadivel, V.Arivazhagan,

More information

Amorphous Materials Exam II 180 min Exam

Amorphous Materials Exam II 180 min Exam MIT3_071F14_ExamISolutio Name: Amorphous Materials Exam II 180 min Exam Problem 1 (30 Points) Problem 2 (24 Points) Problem 3 (28 Points) Problem 4 (28 Points) Total (110 Points) 1 Problem 1 Please briefly

More information

ON THE PHOTOMAGNETIC EFFECT IN CdTe THIN FILMS EVAPORATED ONTO UNHEATED SUBSTRATES

ON THE PHOTOMAGNETIC EFFECT IN CdTe THIN FILMS EVAPORATED ONTO UNHEATED SUBSTRATES Journal of Optoelectronics and Advanced Materials Vol. 7, No. 2, April 2005, p. 811-815 ON THE PHOTOMAGNETIC EFFECT IN CdTe THIN FILMS EVAPORATED ONTO UNHEATED SUBSTRATES G. G. Rusu *, M. Rusu, M. Caraman

More information

Accumulation (%) Amount (%) Particle Size 0.1

Accumulation (%) Amount (%) Particle Size 0.1 100 10 Amount (%) 5 50 Accumulation (%) 0 0.1 1 Particle Size (µm) 10 0 Supplementary Figure 1. The particle size distribution of W-15 at% Cr after 20 hours milling. Supplementary Figure 2. a,b, X-ray

More information

Organic Light-Emitting Diodes. By: Sanjay Tiwari

Organic Light-Emitting Diodes. By: Sanjay Tiwari Organic Light-Emitting Diodes By: Sanjay Tiwari Inorganic Vs. Organic Material Properties Limitations At Early Stage Organic materials have often proved to be unstable. Making reliable electrical contacts

More information

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS

Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project III. 4: THIN FILM DEVICES FOR LARGE AREA ELECTRONICS Project leader: Dr D.N. Kouvatsos Collaborating researchers from other projects: Dr D. Davazoglou Ph.D. candidates: M. Exarchos, L. Michalas

More information

Characterization and erosion of metal-containing carbon layers

Characterization and erosion of metal-containing carbon layers Characterization and erosion of metal-containing carbon layers Martin Balden Max-Planck-Institut für Plasmaphysik, EURATOM Association, D-85748 Garching, Germany Materials Research Division (MF) Outline

More information

PREMETAL PLANARIZATION USING SPIN-ON-DIELECTRIC. Fred Whitwer, Tad Davies, Craig Lage National Semiconductor Corp., Puyallup, WA, 98373

PREMETAL PLANARIZATION USING SPIN-ON-DIELECTRIC. Fred Whitwer, Tad Davies, Craig Lage National Semiconductor Corp., Puyallup, WA, 98373 PREMETAL PLANARIZATION USING SPIN-ON-DIELECTRIC Fred Whitwer, Tad Davies, Craig Lage National Semiconductor Corp., Puyallup, WA, 98373 ABSTRACT A silicate type spin-on-glass (SOG) has been used to planarize

More information

Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods

Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 12, DECEMBER 2002 2217 Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods H. Watakabe and T. Sameshima Abstract Fabrication

More information

Problem 1 Lab Questions ( 20 points total)

Problem 1 Lab Questions ( 20 points total) Problem 1 Lab Questions ( 20 points total) (a) (3 points ) In our EE143 lab, we use Phosphorus for the source and drain diffusion. However, most advanced processes use Arsenic. What is the advantage of

More information

Sensors & Transducers, Vol. 27, Special Issue, May 2014, pp

Sensors & Transducers, Vol. 27, Special Issue, May 2014, pp Sensors & Transducers 2014 by IFSA Publishing, S. L. http://www.sensorsportal.com 2D Numerical Analysis of Metal/Insulator/Thin Film Silicon Systems for TFT s Applications: Investigation of Active Layer

More information