Oxidation enhanced di usion during the growth of ultrathin oxides

Size: px
Start display at page:

Download "Oxidation enhanced di usion during the growth of ultrathin oxides"

Transcription

1 Materials Science in Semiconductor Processing 2 (1999) 29±33 Oxidation enhanced di usion during the growth of ultrathin oxides P.A. Stolk*, A.C.M.C. van Brandenburg, A.H. Montree Philips Research Laboratories, Prof. Holstlaan 4, 5656 AA Eindhoven, Netherlands Abstract Boron-doped marker layers grown by chemical vapor deposition were used to measure oxidation enhanced di usion (OED) during the growth of ultrathin oxide layers of 3.3 nm. The oxides were grown by three di erent methods: steam oxidation at 6508C, dry furnace oxidation at 8008C and rapid thermal oxidation at 10508C. The e ective B di usion length decreases drastically with oxidation temperature, being lower than 03 nm for steam oxidation. This demonstrates that steam oxidation is ideally suited for minimizing dopant di usion during the growth of gate oxides in advanced CMOS processing. Di usion analysis shows that the enhancement in the equilibrium di usivity increases from a factor of 03 at 10508C to 0350 at 6508C. On the basis of these measurements, parameters in a state-of-the-art OED model have been calibrated to enable accurate process modeling of OED in the regime of thin oxide growth. # 1999 Published by Elsevier Science Ltd. All rights reserved. 1. Introduction The advancing miniaturization of silicon devices puts increasingly stringent demands on the distributions of dopants in the active area of the device. In deep-submicron (0.1 mm) MOS transistors, the dopant distributions in the source/drain extension regions are projected to have junction depths of less than 50 nm in order to minimize short channel e ects [1]. In addition, it has been demonstrated that the use of steep, retrograde channel pro les yields enhanced electrical performance in comparison to the conventional uniformly doped channel [2±4]. The fabrication of steep and narrow dopant distributions is hindered by the injection of point defects during silicon processing. Silicon self-interstitials that * Corresponding author. Tel.: ; fax: address: stolk@natlab.reseach.philips.com (P.A. Stolk) pair with dopants (e.g. boron) give rise to accelerated dopant di usion during the annealing of implantation damage (transient enhanced di usion Ð TED) [5] (and references cited therein), or during oxidation of the silicon surface (oxidation enhanced di usion Ð OED) [6±8]. The resulting e ective boron di usivity, D e B,is proportional to the ratio by which the interstitial concentration C I exceeds the equilibrium concentration C eq I [8]: D eff B ˆ Deq B C I C eq I D eq B F, where D B eq is the boron di usivity under equilibrium conditions and F is de ned as the di usion enhancement factor. Measurements on OED during the growth of relatively thick oxides (>10 nm) have yielded the following generic expression for the enhancement factor F OED [6,9,10] F OED ˆ 1 d T dtox dt g, /99/$ - see front matter # 1999 Published by Elsevier Science Ltd. All rights reserved. PII: S (99)

2 30 P.A. Stolk et al. / Materials Science in Semiconductor Processing 2 (1999) 29±33 where T ox represents the oxide thickness and g is a characteristic exponent smaller than unity [8]. The response function d(t ) is thermally activated according to d(t )=d o exp(e OED /kt ) and is related to the e ciency of interstitial injection at oxidizing surfaces. The increase of F OED with increasing oxidation rate, r ox =dt ox /dt, re ects the observation that faster growing oxide surfaces drive the interstitial concentrations further out of equilibrium. Accurate knowledge of the parameters in Eq. (2) is crucial for ensuring that process simulation tools can reliably predict dopant di usion during oxidation. In present-day CMOS technology, oxide layers as thin as 2±4 nm are used as insulators between the gate electrode and the channel region of MOS transistors. Until today, no analysis has been performed of the OED associated with the growth of such thin gate oxides. Therefore, we have investigated OED in a technologically relevant regime by growing oxides as thin as 3 nm at oxidation temperatures as low as 6508C. Delta-doping marker layers [11±13] were employed to accurately measure enhanced di usion for three di erent oxidation recipes. It was found that the temperature dependence of OED for thin oxides is properly modeled using the concept of Eqs. (1) and (2) using a slight modi cation of the published values for response function d(t ). 2. Experimental Fig. 1. SIMS depth pro les of B marker layers before and after di usion for (a) steam oxidation, (b) furnace oxidation and (c) rapid thermal oxidation. Solid lines represent the asgrown marker layers, solid symbols represent wafers that were subjected to 3.3 nm oxide growth, and open symbols in (b) and (c) represent wafers that were subjected to the same thermal cycle but in fully inert ambient (no oxygen supplied). 1 The oxide thicknesses quoted in this paper represent the physical thicknesses as measured by ellipsometry. Dopant marker layers were grown epitaxially on 6- inch Si wafers by means of chemical vapor deposition (CVD) using an ASM-Epsilon 1 reactor. Fig. 1 shows that the as-grown structure consists of four B deltadoped markers separated by 00.3 mm with a Gaussian spread s o of 012 nm. The maximum B concentration of /cm 3 is representative of channel dopant levels employed in advanced CMOS technology. Thin oxide layers were grown on these samples using three di erent recipes: (1) steam oxidation (SO) during which wafers are subjected to an H 2 /O 2 mixture at 6508C after 30 min stabilization in N 2, (2) furnace oxidation (FO) during which diluted O 2 is supplied to temperature-stabilized wafers at 8008C, followed by inert annealing at 8508C, (3) rapid thermal oxidation (RTO) during which wafers are subjected to diluted O 2 at 10508C in an RTA machine (ramp rate: 508C/s). The SO and FO steps were performed in a conventional horizontal ASM furnace. The nominal values of T ox grown by these recipes was 3.3 nm 1, which matches the thickness of gate oxides in 0.18 mm CMOS technology. Charge-to-breakdown measurements under gate current injection of 25 ma/cm 2 show that all recipes yield comparable oxide defect densities of <1/cm 2 and charge-to-breakdown values of 01 C/cm 2, indicating device-grade quality. In order to measure the di usion behavior under inert conditions, B marker layers were subjected to the FO and RTO recipes while suppres-

3 P.A. Stolk et al. / Materials Science in Semiconductor Processing 2 (1999) 29±33 31 Fig. 2. E ective di usion length L B as a function of oxidation temperature as extracted from Gaussian ts to the B pro les in Fig. 1. Also shown is an estimate for the di usion length under inert conditions at 6508C as derived from process simulations. sing the O 2 ow during annealing to ensure identical thermal budgets. Boron pro les were measured before and after di usion by dynamic secondary ion mass spectrometry (SIMS), employing a 3 kev O + 2 sputtering beam. It was veri ed that the depth resolution of SIMS was suf- cient to measure the steepness of the B marker layers. Gaussian pro les were tted to the measured dopant distributions in order to extract the peak widths before (s o ) and after (s after ) di usion, from which the e ective B di usion length L B during the various thermal cycles was derived: L 2 2 B =(s after s 2 o )/2. As a further re nement, the B di usivities during the inert and oxidizing steps of each recipe were extracted independently by simulating the B di usion during the full thermal cycle using the process simulator TSUPREM-4 (version 6.5.0). It should be noted that the implicit OED models of TSUPREM-4 were switched o in this approach. The simulated di usion pro les were tted to the measurements by tuning the prefactors of the default B di usivities 2, yielding scaling factors for diffusion under inert and oxidizing ambients. 3. Results and discussion Fig. 1 shows B dopant distributions after the growth of 3.3 nm thick oxides using each of the three recipes. The amount of pro le broadening during oxidation is 2 The TSUPREM-4 default di usion coe cient in units of cm 2 /s is given by: D B eq =( p/n i ) exp( 3.46 ev/ kt), where p and n i are the hole and intrinsic carrier concentration, respectively. Fig. 3. Evolution of (a) oxide thickness measured by ellipsometry and (b) e ective di usion length derived from marker layers during steam oxidation at 6508C. drastically reduced with decreasing oxidation temperature, being almost undetectable for SO. The extracted di usion lengths are shown in Fig. 2, con rming that L B strongly decreases with oxidation temperature. Fig. 1 demonstrates that running the RTO and FO anneals under inert conditions signi cantly reduces the broadening of the marker layers when compared to oxidizing conditions. At all temperatures, the L B -values for inert di usion are indeed lower than those for oxidizing ambients Fig. 2, indicating that the growth of ultrathin oxide layers results in a signi cant enhancement in the B di usion. This implies that all oxidation recipes lead to the injection of excess silicon self-interstitials at the oxidizing surface, resulting in OED. It is clear that all dopant markers within one sample exhibit the same amount of OED (see Fig. 1), indicating that the interstitial-enhanced B di usion is independent of depth down to at least 1.3 mm. This implies that the injected interstitials undergo unperturbed migration into the bulk and establish a at di usion pro- le, even at temperatures as low as 6508C. The long migration length indicates that the CVD-grown layers are of high quality in that they contain a low level of interstitial traps [14] (e.g. carbon) [5], in contrast to marker layers grown by molecular beam epitaxy [5,12]. Since the wafer oxidized at 6508C exhibits only marginal broadening of the marker layers, it is impossible

4 32 P.A. Stolk et al. / Materials Science in Semiconductor Processing 2 (1999) 29±33 Fig. 4. Measured (points) and simulated (lines) B distributions for furnace annealing under inert and oxidizing conditions at 800/8508C. The B di usivities in the process simulator TSUPREM-4 were modi ed in order to t simulations to measurements. to extract accurate numbers for F OED using TSUPREM-4. Therefore, thicker oxide layers were grown using prolonged steam oxidation to improve the OED analysis. Fig. 3 shows the evolution of the oxide thickness as measured by ellipsometry, and the e ective B di usion length as derived from marker layers. The oxide thickness increases linearly with oxidation time at a constant rate of r ox =0.4 AÊ /min, showing that the initial, linear growth regime during steam oxidation at 6508C is sustained for at least 6 h. It should be noted that the T ox (t ) curve in Fig. 3(a) exhibits an o set of 01.5 nm at t = 0, which re ects the thickness of the oxide layer that is grown during wafer loading. Fig. 3(b) demonstrates that L B scales with the squareroot of time, which implies that broadening of the B marker layers during oxidation is characterized by a constant di usivity. It can thus be concluded that the Fig. 5. Scaling factor of B di usivities (left axis) for inert and oxidizing conditions as obtained by tting TSUPREM-4 simulations to measured B di usion pro les. The dashed curve represents D B eq calculated from the TSUPREM-4 default values for B concentrations of /cm 3. Fig. 6. Arrhenius graph of the measured OED di usion enhancements F OED from Fig. 5, normalized with the oxidation rate according to r g ox, using g = 0.4 and g = 0.5. The solid lines represent ts of the response function d(t ) to the data, whereas the dashed lines represent published results for d(t ) for g = 0.4 [10] and g = 0.5 [9]. steady surface oxidation rate at 6508C results in a constant enhancement of the B di usivity, fully in line with the existing understanding of OED as contained in Eq. (2). Hence, it is justi ed to obtain F OED associated with thin oxide layers from the analysis of marker layers that were subjected to comparatively long oxidation times. The thermal cycles of all oxidation recipes were modeled using TSUPREM-4. As an example, Fig. 4 shows simulated marker layer pro les that were tted to the B distributions measured for FO annealing. Excellent ts were obtained by scaling the B di usivities during inert and oxidizing steps, and the resulting scaling factors F are summarized in Fig. 5. The inert FO and RTO annealing cycles yield F = 1 within the experimental error, con rming that the temperature settings are properly calibrated and equilibrium di usion conditions are indeed achieved. The enhancement factor during oxidation increases from F OED 13 at 10508C tof OED 1350 at 6508C, which is roughly consistent with OED measurements on thicker oxide layers [6,7,9]. In spite of this increase in F OED, the overall B di usion is least pronounced for the lowest oxidation temperature, indicating that the F OED -increase with decreasing temperature is overcompensated by the concomitant reduction of D B eq (see Eq. (1)). This implies that steam oxidation at low temperatures is the bestsuited recipe for retaining steep channel doping pro les during the growth of thin gate oxide layers. The measured values of F OED can be used to analyze the OED response function d(t ) over a large tempera-

5 P.A. Stolk et al. / Materials Science in Semiconductor Processing 2 (1999) 29±33 33 ture range. Upon rewriting Eq. (2), d(t ) is given by d T ˆ F OED 1 r g ox : Analogous to the growth rate data for 6508C Fig. 3, the thin oxide growth at 800 and 10508C is considered to occur in the linear growth regimes so that the oxidation rate r ox can be taken constant. In addition, it is assumed that the interstitial injection rate during dry and wet oxidation is entirely determined by the oxide growth rate (as implicit in the above equation), and is not a ected by possible di erences in the chemical state of the oxidizing surface. Earlier OED studies comparing wet and dry oxidation suggest the latter assumption to be valid [13]. Fig. 6 gives values for d(t ) that were derived from the F OED -data in Fig. 5 and the corresponding oxidation rates using two di erent values for g:g = 0.4 [10] and g = 0.5 [9]. From the semi-log plot of Fig. 6 it is obvious that d(t ) exhibits perfect Arrhenius behavior, and the solid lines are ts yielding d o = (s/cm) 0.4 and E OED =1.7 ev for g = 0.4 and d o = (s/cm) 0.5 and E OED =1.8 ev for g = 0.5. It should be noted that reasonable Arrhenius behavior is found when choosing g in the range 0.25±0.7. The present estimates for d(t ) are slightly lower than published values (Fig. 6), in particular at low oxidation temperatures. This discrepancy cannot be explained by the somewhat di erent values used for the equilibrium di usivity D B eq in the various analyses [9,10] 2. Instead, the di erence could arise from the fact that the published values represent the growth of relatively thick oxide layers (typically 100 nm), whereas the present study is focused exclusively on very thin oxides. For instance, the oxide/silicon interface morphology during the initial stages of growth may di er substantially from that of thicker oxide layers, which could a ect the balance between interstitial injection and recombination at the oxide interface and, hence, the resulting interstitial supersaturation. 4. Conclusions The impact of growing thin gate oxides on the di usion of boron has been investigated for three gate oxide recipes. Dopant marker layers show that the B di usion length during the growth of 3 nm oxides by 3 steam oxidation at 6508C is less than 3 nm, demonstrating that steam oxidation is ideally suited for retaining steep dopant pro les during silicon processing. The measured di usivity enhancements have enabled the calibration of OED model parameters describing the e ciency of interstial injection at oxidizing surfaces. Acknowledgements The authors would like to thank W.B. de Boer for growing the dopant marker layers, J.G.M. van Berkum for performing SIMS analysis and FABWAG for wafer processing. This work was supported by the European ACE Program (Esprit 24115). References [1] Semiconductor Industry Association National Technology Roadmap for Semiconductors, [2] Yamaguchi A, Shiraki Y, Katayama Y, Murayama Y. Jpn J Appl Phys 1983;22 (Suppl.):267. [3] Nakagawa K, Van Gorkum AA, Shiraki Y. Appl Phys Lett 1989;54:1869. [4] Ohguro T, Sugiyama N, Imai S, Usuda K, Saito M, Yoshimoto T, Ono M, Kimijima H, Momose HS, Katsumata Y, Iwai H. IEEE Trans Electron Dev 1998;45:710. [5] Stolk PA, Gossmann H-J, Eaglesham DJ, Jacobson DC, Ra erty CS, Gilmer GH, JaraõÂ z M, Poate JM, Luftman HS, Haynes TE. J Appl Phys 1997;81:6031. [6] Lin AM-R, Antoniadis DA, Dutton RW. J Electrochem Soc 1981;128:1131. [7] Ishikawa Y, Sakina Y, Tanaka H, Matsumoto S, Niimi T. J Electrochem Soc 1982;129:644. [8] Fahey PM, Gri n PB, Plummer JD. Rev Mod Phys 1989;61:289. [9] Lever RF, Gri n PB, Rausch WA. J Appl Phys 1995;78:3115. [10] Kizilyalli IC, Rich TL, Stevie FA, Ra erty CS. J Appl Phys 1996;80:4944. [11] Van Oostrum KJ, Zalm PC, De Boer WB, Gravesteijn DJ, Maes JWF. Appl Phys Lett 1992;61:1513. [12] Gossmann H-J, Ra erty CS, Luftman HS, Unterwald FC, Boone T, Poate JM. Appl Phys Lett 1993;63:639. [13] Kujirai H, Murakami E, Kimura S. Jpn J Appl Phys 1995;34:782. [14] Cowern NEB. Appl Phys Lett 1994;64:2646.

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers

Boron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers Mat. Res. Soc. Symp. Proc. Vol. 810 2004 Materials Research Society C3.5.1 oron Diffusion and Silicon Self-Interstitial Recycling between SiGeC layers M. S. Carroll 1 J. C. Sturm, Dept. of Electrical Engineering,

More information

Interaction of ion-implantation-induced interstitials in B-doped SiGe

Interaction of ion-implantation-induced interstitials in B-doped SiGe Materials Science in Semiconductor Processing 10 (2007) 1 5 Interaction of ion-implantation-induced interstitials in B-doped SiGe R.T. Crosby a,, K.S. Jones a, M.E. Law a, L. Radic a, P.E. Thompson b,

More information

Transient-Enhanced Diffusion in Shallow-Junction Formation

Transient-Enhanced Diffusion in Shallow-Junction Formation Journal of ELECTRONIC MATERIALS, Vol. 31, No. 10, 2002 Special Issue Paper Transient-Enhanced Diffusion in Shallow-Junction Formation A.T. FIORY, 1,6 S.G. CHAWDA, 1 S. MADISHETTY, 1 V.R. MEHTA, 1 N.M.

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

THERMAL OXIDATION - Chapter 6 Basic Concepts

THERMAL OXIDATION - Chapter 6 Basic Concepts THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. Oxide Thickness µm 0. µm 0 nm nm Thermally Grown Oxides

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica Microelettronica Planar Technology for Silicon Integrated Circuits Fabrication 26/02/2017 A. Neviani - Microelettronica Introduction Simplified crosssection of an nmosfet and a pmosfet Simplified crosssection

More information

Oxidation induced precipitation in Al implanted epitaxial silicon

Oxidation induced precipitation in Al implanted epitaxial silicon JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 7 1 OCTOBER 2000 Oxidation induced precipitation in Al implanted epitaxial silicon A. La Ferla, G. Galvagno, P. K. Giri, G. Franzò, and E. Rimini Dipartimento

More information

EE THERMAL OXIDATION - Chapter 6. Basic Concepts

EE THERMAL OXIDATION - Chapter 6. Basic Concepts EE 22 FALL 999-00 THERMAL OXIDATION - Chapter 6 Basic Concepts SiO 2 and the Si/SiO 2 interface are the principal reasons for silicon s dominance in the IC industry. SiO 2 : Easily selectively etched using

More information

Diffusion of Ge in Si 1-x Ge x ÕSi single quantum wells in inert and oxidizing ambients

Diffusion of Ge in Si 1-x Ge x ÕSi single quantum wells in inert and oxidizing ambients JOURNAL OF APPLIED PHYSICS VOLUME 88, NUMBER 3 1 AUGUST 2000 Diffusion of Ge in Si 1-x Ge x ÕSi single quantum wells in inert and oxidizing ambients Michelle Griglione a) and Timothy J. Anderson Department

More information

More on oxidation. Oxidation systems Measuring oxide thickness Substrate orientation Thin oxides Oxide quality Si/SiO2 interface Hafnium oxide

More on oxidation. Oxidation systems Measuring oxide thickness Substrate orientation Thin oxides Oxide quality Si/SiO2 interface Hafnium oxide More on oxidation Oxidation systems Measuring oxide thickness Substrate orientation Thin oxides Oxide quality Si/SiO2 interface Hafnium oxide EE 432/532 oxide measurements, etc 1 Oxidation systems silicon

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Modeling charged defects, dopant diffusion and activation mechanisms for TCAD simulations using Kinetic Monte Carlo

Modeling charged defects, dopant diffusion and activation mechanisms for TCAD simulations using Kinetic Monte Carlo Modeling charged defects, dopant diffusion and activation mechanisms for TCAD simulations using Kinetic Monte Carlo Ignacio Martin-Bragado, S. Tian and M. Johnson Synopsys Inc, 700 East Middlefield Road,

More information

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: Chapter 4 1 CHAPTER 4: Oxidation Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: 1. mask against implant or diffusion of dopant into silicon 2. surface passivation

More information

Effect of Pressure on Boron Diffusion in Silicon

Effect of Pressure on Boron Diffusion in Silicon Effect of Pressure on Boron Diffusion in Silicon The Harvard community has made this article openly available. Please share how this access benefits you. Your story matters Citation Zhao, Yuechao, Michael

More information

Low Thermal Budget NiSi Films on SiGe Alloys

Low Thermal Budget NiSi Films on SiGe Alloys Mat. Res. Soc. Symp. Proc. Vol. 745 2003 Materials Research Society N6.6.1 Low Thermal Budget NiSi Films on SiGe Alloys S. K. Ray 1,T.N.Adam,G.S.Kar 1,C.P.SwannandJ.Kolodzey Department of Electrical and

More information

Model for Transient Enhanced Diffusion of Ion-Implanted Boron, Arsenic, and Phosphorous over Wide Range of Process Conditions

Model for Transient Enhanced Diffusion of Ion-Implanted Boron, Arsenic, and Phosphorous over Wide Range of Process Conditions Model for Transient Enhanced Diffusion of Ion-Implanted Boron, Arsenic, and Phosphorous over Wide Range of Process Conditions v Kunihiro Suzuki (Manuscript received January 16, 2003) We obtained experimental

More information

Influence of low temperature preanneals on dopant and defect behavior for low energy Ge preamorphized silicon

Influence of low temperature preanneals on dopant and defect behavior for low energy Ge preamorphized silicon Influence of low temperature preanneals on dopant and defect behavior for low energy Ge preamorphized silicon R. A. Camillo-Castillo, a) M. E. Law, and K. S. Jones SWAMP Center, University of Florida,

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation Jpn. J. Appl. Phys. Vol. 42 (2003) pp. 2654 2659 Part 1, No. 5A, May 2003 #2003 The Japan Society of Applied Physics -Assisted Low-Energy Arsenic Implantation Technology for N-Channel Metal Oxide Semiconductor

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 13: THERMAL- OXIDATION of SILICON 2004 by LATTICE PRESS Chapter 13: THERMAL-OXIDATION of SILICON n CHAPTER CONTENTS Applications of Thermal Silicon-Dioxide Physical

More information

MOSFET. n+ poly Si. p- substrate

MOSFET. n+ poly Si. p- substrate EE143 Midterm #1 Solutions Fall 2005 (maximum score is 97) Problem 1 Processing Modules and Simple Process Sequence (25 points total) The following schematic cross-section shows a MOSFET together with

More information

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization Journal of Non-Crystalline Solids 299 302 (2002) 1321 1325 www.elsevier.com/locate/jnoncrysol Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by

More information

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C

Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon Films by Heat Treatment at 250 C Japanese Journal of Applied Physics Vol. 44, No. 3, 2005, pp. 1186 1191 #2005 The Japan Society of Applied Physics Activation Behavior of Boron and Phosphorus Atoms Implanted in Polycrystalline Silicon

More information

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique

Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Implant Metrology for Bonded SOI Wafers Using a Surface Photo-Voltage Technique Adam Bertuch a, Wesley Smith a, Ken Steeples a, Robert Standley b, Anca Stefanescu b, and Ron Johnson c a QC Solutions Inc.,

More information

CHAPTER 8: Diffusion. Chapter 8

CHAPTER 8: Diffusion. Chapter 8 1 CHAPTER 8: Diffusion Diffusion and ion implantation are the two key processes to introduce a controlled amount of dopants into semiconductors and to alter the conductivity type. Figure 8.1 compares these

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

ECSE-6300 IC Fabrication Laboratory Lecture 2 Thermal Oxidation. Introduction: Oxide

ECSE-6300 IC Fabrication Laboratory Lecture 2 Thermal Oxidation. Introduction: Oxide ECSE-6300 IC Fabrication Laboratory Lecture 2 Thermal Oxidation Prof. Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518) 276-2909 e-mails: luj@rpi.edu 2-1 Introduction: Oxide

More information

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4 Lecture 4 Oxidation (applies to Si and SiC only) Reading: Chapter 4 Introduction discussion: Oxidation: Si (and SiC) Only The ability to grow a high quality thermal oxide has propelled Si into the forefront

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density

Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis of Dependence on Defect Density Jpn. J. Appl. Phys. Vol. 40 (2001) pp. 49 53 Part 1, No. 1, January 2001 c 2001 The Japan Society of Applied Physics Device Simulation of Grain Boundaries in Lightly Doped Polysilicon Films and Analysis

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

Doping fin field-effect transistor sidewalls : impurity dose retention in silicon due to high angle incident ion

Doping fin field-effect transistor sidewalls : impurity dose retention in silicon due to high angle incident ion Doping fin field-effect transistor sidewalls : impurity dose retention in silicon due to high angle incident ion implants and the impact on device performance Citation for published version (APA): Duffy,

More information

Available online at ScienceDirect. Energy Procedia 92 (2016 )

Available online at   ScienceDirect. Energy Procedia 92 (2016 ) Available online at www.sciencedirect.com ScienceDirect Energy Procedia 92 (2016 ) 225 231 6th International Conference on Silicon Photovoltaics, SiliconPV 2016 Choosing the best silicon material parameters

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Chapter 5. UEEP2613 Microelectronic Fabrication. Diffusion

Chapter 5. UEEP2613 Microelectronic Fabrication. Diffusion Chapter 5 UEEP613 Microelectronic Fabrication Diffusion Prepared by Dr. Lim Soo King 4 Jun 01 Chapter 5 Diffusion...131 5.0 Introduction... 131 5.1 Model of Diffusion in Solid... 133 5. Fick s Diffusion

More information

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9 Issued: Tuesday, Nov. 11, 2014 PROLEM SET #9 Due: Wednesday, Nov. 19, 2010, 8:00 a.m. in the EE 143 homework box near 140 Cory 1. The following pages comprise an actual pwell CMOS process flow with poly-to-poly

More information

Kinetics of Silicon Oxidation in a Rapid Thermal Processor

Kinetics of Silicon Oxidation in a Rapid Thermal Processor Kinetics of Silicon Oxidation in a Rapid Thermal Processor Asad M. Haider, Ph.D. Texas Instruments Dallas, Texas USA Presentation at the National Center of Physics International Spring Week 2010 Islamabad

More information

行政院國家科學委員會補助專題研究計畫成果報告

行政院國家科學委員會補助專題研究計畫成果報告 NSC89-2215-E-009-104 89 08 01 90 07 31 Fabrication and Characterization of Low-Temperature Polysilicon Thin Film Transistors with Novel Self-Aligned Sub-Gate Structures NSC89-2215-E009-104 (FID) self-aligned

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 4, Number 3 (2011), pp. 267-274 International Research Publication House http://www.irphouse.com Design Consideration

More information

Effect of fluorine implantation dose on boron thermal diffusion in silicon

Effect of fluorine implantation dose on boron thermal diffusion in silicon JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 8 15 OCTOBER 2004 Effect of fluorine implantation dose on boron thermal diffusion in silicon H. A. W. El Mubarek, J. M Bonar, G. D. Dilliway, and P. Ashburn

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA ELEC 7364 Lecture Notes Summer 2008 Si Oxidation by STELLA W. PANG from The University of Michigan, Ann Arbor, MI, USA Visiting Professor at The University of Hong Kong The University of Michigan Visiting

More information

Antimony Clustering due to High-dose Implantation

Antimony Clustering due to High-dose Implantation Mat. Res. Soc. Symp. Vol. 610 2000 Materials Research Society Antimony Clustering due to High-dose Implantation Kentaro Shibahara and Dai Onimatsu Research Center for Nanodevices and Systems Hiroshima

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

REAR SURFACE PASSIVATION OF INTERDIGITATED BACK CONTACT SILICON HETEROJUNCTION SOLAR CELL AND 2D SIMULATION STUDY

REAR SURFACE PASSIVATION OF INTERDIGITATED BACK CONTACT SILICON HETEROJUNCTION SOLAR CELL AND 2D SIMULATION STUDY REAR SURFACE PASSIVATION OF INTERDIGITATED BACK CONTACT SILICON HETEROJUNCTION SOLAR CELL AND 2D SIMULATION STUDY Meijun Lu 1,2, Ujjwal Das 1, Stuart Bowden 1, and Robert Birkmire 1,2 1 Institute of Energy

More information

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Crystalline Silicon Solar Cells With Two Different Metals Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8588,

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Solar Energy Research Institute of Singapore (SERIS), National University of Singapore (NUS), Singapore

Solar Energy Research Institute of Singapore (SERIS), National University of Singapore (NUS), Singapore MODELLING AND CHARACTERIZATION OF BBr3 BORON DIFFUSION PROCESS FOR N-TYPE SI WAFER SOLAR CELLS LI Mengjie 1, 2, a, HOEX Bram 3, MA Fa-Jun 3, DEVAPPA SHETTY Kishan 1, ABERLE Armin G. 1, 2, SAMUDRA Ganesh

More information

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature: INSTRUCTIONS Read all of the instructions and all of the questions before beginning the exam. There are 5 problems on this Final Exam, totaling 143 points. The tentative credit for each part is given to

More information

Instructor: Dr. M. Razaghi. Silicon Oxidation

Instructor: Dr. M. Razaghi. Silicon Oxidation SILICON OXIDATION Silicon Oxidation Many different kinds of thin films are used to fabricate discrete devices and integrated circuits. Including: Thermal oxides Dielectric layers Polycrystalline silicon

More information

E ect of grain-boundaries on uranium and oxygen di usion in polycrystalline UO 2

E ect of grain-boundaries on uranium and oxygen di usion in polycrystalline UO 2 Journal of Nuclear Materials 278 (2000) 364±369 www.elsevier.nl/locate/jnucmat Letter to the Editors E ect of grain-boundaries on uranium and oxygen di usion in polycrystalline UO 2 A.C.S. Sabioni a, *,

More information

Impact of the end of range damage from low energy Ge preamorphizing implants on the thermal stability of shallow boron profiles

Impact of the end of range damage from low energy Ge preamorphizing implants on the thermal stability of shallow boron profiles JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 9 1 NOVEMBER 2004 Impact of the end of range damage from low energy Ge preamorphizing implants on the thermal stability of shallow boron profiles R. A. Camillo-Castillo

More information

Simulation of the anneal of ion implanted boron emitters and the impact on the saturation current density

Simulation of the anneal of ion implanted boron emitters and the impact on the saturation current density Vailable online at www.sciencedirect.com Energy Procedia 27 (2012 ) 240 246 SiliconPV 2012, 03-05 April 2012, Leuven, Belgium Simulation of the anneal of ion implanted boron emitters and the impact on

More information

Boron Back Surface Field Using Spin-On Dopants by Rapid Thermal Processing

Boron Back Surface Field Using Spin-On Dopants by Rapid Thermal Processing Journal of the Korean Physical Society, Vol. 44, No. 6, June 2004, pp. 1581 1586 Boron Back Surface Field Using Spin-On Dopants by Rapid Thermal Processing Ji Youn Lee Photovoltaics R&D Center, Sung Jin

More information

Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures

Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures Author Haasmann, Daniel, Dimitrijev, Sima, Han, Jisheng, Iacopi, Alan Published 214 Journal Title Materials Science Forum DOI https://doi.org/1.428/www.scientific.net/msf.778-78.627

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris VLSI Lecture 1 Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University Based on slides of David Money Harris Goals of This Course Learn the principles of VLSI design Learn to design

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

doi: /

doi: / doi: 10.1063/1.1335828 JOURNAL OF APPLED PHYSCS VOLUME 89, NUMBER 3 1 FEBRUARY 2001 Simulation of wet oxidation of silicon based on the interfacial silicon emission model and comparison with dry oxidation

More information

1. Introduction. 2. Experiments. Paper

1. Introduction. 2. Experiments. Paper Paper Novel Method of Improving Electrical Properties of Thin PECVD Oxide Films by Fluorination of Silicon Surface Region by RIE in RF CF 4 Plasma Małgorzata Kalisz, Grzegorz Głuszko, and Romuald B. Beck

More information

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very high voltages (10-600 KeV) Use analyzer to selection charge/mass

More information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric K. Matano 1, K. Funamizu 1, M. Kouda 1, K. Kakushima 2, P. Ahmet 1, K. Tsutsui 2, A. Nishiyama 2, N. Sugii

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Deuterium pressure dependence of characteristics and hot-carrier reliability of CMOS devices

Deuterium pressure dependence of characteristics and hot-carrier reliability of CMOS devices Microelectronic Engineering 56 (001) 353 358 www.elsevier.com/ locate/ mee Deuterium pressure dependence of characteristics and hot-carrier reliability of CMOS devices a, a b a a Kangguo Cheng *, Jinju

More information

Behavior and effects of fluorine in annealed n polycrystalline silicon layers on silicon wafers

Behavior and effects of fluorine in annealed n polycrystalline silicon layers on silicon wafers JOURNAL OF APPLIED PHYSICS VOLUME 87, NUMBER 10 15 MAY 2000 Behavior and effects of fluorine in annealed n polycrystalline silicon layers on silicon wafers C. D. Marsh a) Department of Materials, University

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Formation, evolution, and annihilation of interstitial clusters in ion-implanted Si

Formation, evolution, and annihilation of interstitial clusters in ion-implanted Si PHYSICAL REVIEW B, VOLUME 63, 195206 Formation, evolution, and annihilation of interstitial clusters in ion-implanted Si Sebania Libertino and Salvatore Coffa CNR-IMETEM, Stradale Primosole 50, I-95121

More information

AIST, 2 CREST/AIST, 3 Univ. Of Tsukuba

AIST, 2 CREST/AIST, 3 Univ. Of Tsukuba A. Traoré 1, A. Nakajima 1, T. Makino 1,2, D. Kuwabara 1,2,3, H. Kato 1,2, M. Ogura 1,2, D. Takeuchi 1,2, and S. Yamasaki 1,2,3 1 AIST, 2 CREST/AIST, 3 Univ. Of Tsukuba aboulaye.traore@aist.go.jp Diamond

More information

MODELLING DOPANT DIFFUSION IN POLYSILICON. S K Jones, C Hill

MODELLING DOPANT DIFFUSION IN POLYSILICON. S K Jones, C Hill 44 SIMULATION OF SEMICONDUCTOR DEVICES AND PROCESSES Vol. 3 Edited by G. Baccarani, M. Rudan - Bologna (Italy) September 26-28,988 - Tecnoprim MODELLING DOPANT DIFFUSION IN POLYSILICON S K Jones, C Hill

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

X-Ray Diffuse Scattering Study of the Kinetics of Stacking Fault Growth and Annihilation in Boron-Implanted Silicon.

X-Ray Diffuse Scattering Study of the Kinetics of Stacking Fault Growth and Annihilation in Boron-Implanted Silicon. SLAC-PUB-9244 June 2002 X-Ray Diffuse Scattering Study of the Kinetics of Stacking Fault Growth and Annihilation in Boron-Implanted Silicon. D. Luebbert, et al. Stanford Linear Accelerator Center, Stanford

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating Jpn. J. Appl. Phys. Vol. 39 (2000) pp. 2492 2496 Part, No. 5A, May 2000 c 2000 The Japan Society of Applied Physics Passivation of O 2 / Interfaces Using High-Pressure-H 2 O-Vapor Heating Keiji SAKAMOTO

More information

Solid-State Electronics 43 (1999) 2085±2091

Solid-State Electronics 43 (1999) 2085±2091 Solid-State Electronics 43 (1999) 2085±2091 Native oxide free polycrystalline/single crystal Si interface obtained by in situ cleaning: e ects on the electrical performances of polysilicon emitter transistors

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation

Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation Japanese Journal of Applied Physics Vol. 46, No. 5B, 27, pp. 3234 3238 #27 The Japan Society of Applied Physics Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation Banani

More information

Microelectronic Device Instructional Laboratory. Table of Contents

Microelectronic Device Instructional Laboratory. Table of Contents Introduction Process Overview Microelectronic Device Instructional Laboratory Introduction Description Flowchart MOSFET Development Process Description Process Steps Cleaning Solvent Cleaning Photo Lithography

More information

The stress assisted evolution of point and extended defects in silicon

The stress assisted evolution of point and extended defects in silicon The stress assisted evolution of point and extended defects in silicon Samir Chaudhry a) and Mark E. Law Department of Electrical and Computer Engineering, University of Florida, Gainesville, Florida 32611

More information

Crystalline Silicon Technologies

Crystalline Silicon Technologies Crystalline Silicon Technologies in this web service in this web service Mater. Res. Soc. Symp. Proc. Vol. 1210 2010 Materials Research Society 1210-Q01-01 Hydrogen Passivation of Defects in Crystalline

More information

Behaviour of Natural and Implanted Iron during Annealing of Multicrystalline Silicon Wafers

Behaviour of Natural and Implanted Iron during Annealing of Multicrystalline Silicon Wafers Behaviour of Natural and Implanted Iron during Annealing of Multicrystalline Silicon Wafers Daniel Macdonald 1,a, Thomas Roth 1,b, L. J. Geerligs 2,c and Andres Cuevas 1,d 1 Department of Engineering,

More information

Copyright by. Puneet Kohli

Copyright by. Puneet Kohli Copyright by Puneet Kohli 2003 The Dissertation Committee for Puneet Kohli Certifies that this is the approved version of the following dissertation: Fundamental Understanding of the Physics and Modeling

More information

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

2007 IEEE International Conference on Electron Devices and Solid-State Circuits Proceedings 2007 IEEE International Conference on Electron Devices and Solid-State Circuits ~ December 20-22, 2007 Tayih Landis Hotel, Tainan, Taiwan Volume I Aluminium Incorporation in Lanthanum Oxide

More information

Light Emission Analysis of Trench Gate Oxides of Power Devices

Light Emission Analysis of Trench Gate Oxides of Power Devices Special Issue Recent R&D Activities of Power Devices for Hybrid ElectricVehicles 17 Research Report Light Emission Analysis of Trench Gate Oxides of Power Devices Masanori Usui, Takahide Sugiyama, Masayasu

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 36 MOSFET I Metal gate vs self-aligned poly gate So far, we have discussed about

More information

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design Introduction to CMOS VLSI Design Layout, Fabrication, and Elementary Logic Design CMOS Fabrication CMOS transistors are fabricated on silicon wafer Lithography process similar to printing press On each

More information

Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods

Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 12, DECEMBER 2002 2217 Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods H. Watakabe and T. Sameshima Abstract Fabrication

More information

Increased Yield Using PDS Products Grade BN-975 with Hydrogen Injection

Increased Yield Using PDS Products Grade BN-975 with Hydrogen Injection Increased Yield Using PDS Products Grade BN-975 with Hydrogen Injection Technical Bulletin The purpose of the hydrogen injection process is to increase die yield per wafer. This is accomplished because

More information