Contamination control in EUV exposure tools

Size: px
Start display at page:

Download "Contamination control in EUV exposure tools"

Transcription

1 Contamination control in EUV exposure tools Katsuhiko Murakami Noriaki Kandaka, Takashi Yamaguchi, Atsushi Yamazaki, Tsuneyuki Hagiwara, Tetsuya Oshino, Jiro Inoue and Kazuya Ota Nikon Corporation June 12, 2013

2 Contamination control in EUV exposure tools EUV-induced contamination Carbon contamination Surface oxidation Particles in vacuum June 12,

3 Contamination control in EUV exposure tools EUV-induced contamination Carbon contamination EUV + O 2 mitigation On-body UV dry cleaning Surface oxidation Particles in vacuum June 12,

4 Relative reflectance Relative reflectance Contamination study using a synchrotron facility Neutral density filter Synchrotron radiation Branch chamber Folding mirror Load rock chamber Neutral density filter Gate valve with Zr filter Rotational photo diode Transfer rod Gas inlet Sample stage Exposure chamber BL 18 at SAGA LS SR facility W/cm 2 8W/cm 2 0.1W/cm Cumulative dose [J/mm 2 ] W/cm 2 1W/cm 2 0.1W/cm W/cm W/cm Cumulative dose [J/mm 2 ] Contamination growth with perfluorohexane (C 6 F 14 ) June 12,

5 V, contaminating/cleaning rate [nm/s] IU transmittance [arb. unit] EUV + O 2 mitigation of carbon contamination 1E-1 C6F14 (5E-5Pa) O2 cleaning (2E-2Pa) Modeled Actual 1E-2 Oxygen flow optimized 1E-3 1E-4 1E E, irradiance [mw/cm2] Contamination growth rate and O 2 cleaning rate Number of pulses irradiated to IU [billion pulses] History of IU transmittance of EUV1 O 2 cleaning rate exceeds carbon contamination growth rate in appropriate condition. Degradation of IU (Illumination Unit) transmittance of EUV1 was stopped after optimization of O 2 flow rate. EUV + O 2 mitigation is very effective to carbon contamination. June 12,

6 UV dry cleaning of carbon contamination Reflectivity UV dry cleaner using a low pressure mercury lamp Before cleaning, After cleaning Position on mirror Before cleaning After cleaning Carbon contamination on a illumination optics of EUV1 can be removed with UV dry cleaning. Initial reflectivity was recovered. June 12,

7 UV dry cleaning in depressurized atmosphere Vacuum 真空チェンバー chamber Low 低圧水銀ランプ pressure mercury lamp measured --- calculation 1/100 atm 1 atm Low pressure mercury 低圧水銀ランプ lamp Sample 洗浄サンプル Cleaning rate of carbon contamination with UV dry cleaning increases with reduced pressure. UV dry cleaning was applied to an on-body cleaning method in EUV1. June 12,

8 On-body UV dry cleaning for IU of EUV1 Con1 FE1 FM Con2 FE2 Low pressure mercury lamps placed near each mirror enabled on-body cleaning of illumination optics. June 12,

9 Contamination control in EUV exposure tools EUV-induced contamination Carbon contamination EUV + O 2 mitigation On-body UV dry cleaning Surface oxidation Metal-oxide capping layer Particles in vacuum June 12,

10 Relative change of reflectivity Metal oxide capping layer Metal oxide capping layer 1 Si Mo Si Mo Si Si 0.85 MoOx NbOx Ru 0.8 H 2 O: 1x10-3 Pa, 8 W/cm 2 0.0E+0 5.0E+4 1.0E+5 1.5E+5 2.0E+5 Dose [J/cm 2 ] Reflectivity change of Mo/Si multilayers with several different capping layer materials during EUV exposure in water vapor was measured. Metal oxide capping layers have much higher oxidation durability compared with conventional Ru capping layer. June 12,

11 Contamination control in EUV exposure tools EUV-induced contamination Carbon contamination EUV + O 2 mitigation On-body UV dry cleaning Surface oxidation Metal-oxide capping layer Particles in vacuum Particle capture using silica aerogel June 12,

12 Particles in vacuum: Materials Potential particle generating events Physical contact Collisions: wafer/reticle transfer, pod open/close, valve o/c, grounding pin contact, Rubbing: bearings, ultrasonic motors, Physical reaction Sputtering: corona ionizers, EUV sources, Evaporation and condensation: pumping down in load lock Electrical discharge/spark EUV sources Discharge between reticle and pod during a transitional region in load lock Micro-discharge between wafer/reticle backsides and e-chucks. Potential materials Metal/metalloid: Na, Al, Si, K, Ca, Ti, Cr, Fe, Ni, Mo, Ru, Sn, Ta, Inorganic: SiO 2, Organic: C x H y O z +V -V HEAVY! > 7 g/cm 3 cf. PSL~1 g/cm 3 June 12,

13 Particle in vacuum: Velocities Interaction with surfaces bounce or stick depends on the relationship between the particle impact velocity V i and the critical velocity V c. V i < V c : stick V i > V c : bounce V i >> V c : split and stick/bounce V c is determined by the surface material, the particle material, the particle size, etc. Particles that have lower velocities than the critical velocity cannot continue to fly. FAST! Typical Vc : 100 m/s for 100-nm particles 2,000 m/s for 10-nm particles 76 m/s 457 m/s 96 m/s Critical velocity vs. particle diameter S. Wall, et al., Aerosol Science and Technology, Volume 12, Issue 4, 1990, Pages nm June 12,

14 Flying range (m) Particles in vacuum: Flying range Forces acting on particles in vacuum Gravity: r 3, dominant force but not decelerating force Drag force: r 2, weak, depends on the gas pressure Coulomb force: weak, depends on E and amount of electric charge Thermophoretic force: very weak, depends on the thermal gradient Flying range vs. Initial velocity 1,000, ,000 Particle diameter 10 nm 100 nm 1,000 nm 10,000 1, below V c ,000 10,000 Initial velocity (m/s) LONG! > 100 m Mo particles in 0.01-Pa O 2 gas June 12,

15 Particle reduction system Particle shield Particle catcher Particle catcher Shield Mask Mask Particle source at the top. Shield between the particle source and the mask. Performance of shield is insufficient. Particle source at the top. Particle catcher on the shield. Particle catcher can drastically reduce the number of flying particles. To simplify the models, gravity is neglected and the same coefficient of restitution (COR) is used for both the vertical component and the parallel component to the wall. June 12,

16 Silica aerogel as particle catcher STARDUST : NASA s comet sample return mission, February 7, 1999 Stardust Launch Dust Collector with aerogel Comet particles Velocity: ~ 6.5 km/s Diameter: µm Vacuum tool particles Velocity: 10 m/s - 1 km/s Diameter: 1 µm - 10 nm Kinetic energy Thinner sheet is enough for EUV tools. 1 Aerogel In Hand Photos from jpl.nasa.gov Particle Tracks in Aerogel (experiment) Comet Ejecta in Aerogel June 12,

17 Structure of silica aerogels Pore network Apparent density: g/cm Density of air: g/cm 3 at 20 C SiO 2 Pore size micro pores: < 2 nm mesopores: 2-50 nm macro pores: > 50 nm Silica particle diameter 2-5 nm June 12,

18 Experimental setup of particle catcher MPE Tool Lead wire: V Particle generator installed in ESC chamber Lead wire: +V High voltage feedthroughs Induction coil Particles were generated by discharging (Air pressure ~5E-3 Pa) 10-20% of particles can go out through the gap Metal Plate having a through hole Cover plate Photos of aerogel tested: bare (left) and covered by a foil (right) Aerogel holding plate Aerogel Size: 100 mm (W), 100 mm (D), 15 mm (H) Density: g/cm 3 Aerogel in ESC chamber A quarts substrate for particle adhesion evaluation (not shown in the photo) June 12,

19 Experimental conditions of particle catcher #1: Aerogel sheet covered with a foil An aerogel sheet covered by a foil on the holding plate was put between the quarts substrate and the particle generator. Discharging was continuously and the total time was about 8 seconds. Particles are not captured. #2: Bare aerogel sheet The foil was removed. Discharging was continuously and the total time was about 8 seconds. Particles are captured. June 12,

20 Experimental results #1: Covered with foil Up Down Particle density: 1,331 particles/cm 2 Particle density: 1,368 particles/cm 2 Almost the same density Pixel Histogram Pixel Histogram , , , , , , , , ,452 16,635 19,063 29,952 Total = 283, >=300 nm nm nm nm nm nm 1-6 pix =< 50 nm ,982 3,839 13,137 14,289 Total = 291,645 June 12,

21 Experimental results #2: Bare aerogel Up Down Particle density: 68 particles/cm 2 Particle density: 111 particles/cm 2 #1 1/20 #1 1/12 The aerogel sheet caught almost all particles that impacted it!! Pixel Histogram Pixel Histogram , , , , , , ,201 1,414 2,119 Total = 14, >=300 nm nm nm nm nm nm 1-6 pix =< 50 nm ,856 1,663 1,812 Total = 23,671 June 12,

22 Summary Oxygen introduction during EUV exposure effectively mitigates carbon contamination growth on optical elements in EUV exposure tools. Carbon contamination on optical elements can be removed by UV dry cleaning. It can be applied to an on-body cleaning method in EUV exposure tools. Surface oxidation of multilayer mirrors during EUV exposure can be prevented by using metal-oxide capping layer. Particles in a vacuum chamber fly very long distance. Silica aerogel is suitable material to capture such flying particles in EUV exposure tools. June 12,

23 Acknowledgements EUVA NEDO METI Selete & EIDEC SAGA Light Source Chiba University Panasonic Corp. June 12,

24 Thank you for your attention.

Control technology of EUV Optics Contamination:

Control technology of EUV Optics Contamination: EUVL Workshop, Maui, Hawaii Wednesday, June 11, 2008 Control technology of EUV Optics Contamination: Modeling, mitigation and cleaning for lifetime extension Iwao Nishiyama 1 Outline 1. Introduction Requirement

More information

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Association of Super-Advanced Electronics Technologies (ASET) EUV Process Technology Research Laboratory Takeo Hashimoto and Iao Nishiyama

More information

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV optics lifetime Radiation damage, contamination, and oxidation EUV optics lifetime Radiation damage, contamination, and oxidation M. van Kampen ASML Research 10-11-2016 Preamble Slide 2 ASML builds lithography scanners High-resolution photocopiers Copies mask pattern

More information

EUV Source Supplier Update, Gigaphoton

EUV Source Supplier Update, Gigaphoton EUV Source Supplier Update, Gigaphoton Hakaru Mizoguchi EUV Source Workshop 6 May, 2007 Baltimore, MD, USA Acknowledgments A part of this work was performed under the management of EUVA in the NEDO's R&D

More information

U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006

U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006 U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006 Barcelona, Spain Tom Lucatorto 1 SEMATECH Coordinated Projects - 2006 Fundamental surface chemistry and physics: relevance

More information

Droplet-based EUV LPP Source for High Volume Metrology

Droplet-based EUV LPP Source for High Volume Metrology Droplet-based EUV LPP Source for High Volume Metrology, N. Gambino, A. Z. Giovannini, M. Brandstätter, D. Hudgins, A. Sanders, K. Hertig, F. Alickaj and Reza S. Abhari Swiss Federal Institute of Technology

More information

EUV multilayer coatings: potentials and limits

EUV multilayer coatings: potentials and limits EUV multilayer coatings: potentials and limits 2012 International Workshop on EUV Lithography Sergiy Yulin, Torsten Feigl, Viatcheslav Nesterenko, Mark Schürmann, Marco Perske, Hagen Pauer, Tobias Fiedler

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

FEL Irradiation Tolerance of Multilayer Optical System

FEL Irradiation Tolerance of Multilayer Optical System FEL Irradiation Tolerance of Multilayer Optical System Satoshi Ichimaru, Masatoshi Hatayama NTT Advanced Technology Corporation 1. Introduction 2. Damage formation - Thermal process vs Non-thermal process

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Concept and preliminary experiment on ILE, Osaka. protection of final optics in wet-wall laser fusion reactor

Concept and preliminary experiment on ILE, Osaka. protection of final optics in wet-wall laser fusion reactor Concept and preliminary experiment on protection of final optics in wet-wall laser fusion reactor T. Norimatsu, K. Nagai, T. Yamanaka and Y. Izawa Presented at Japan-US Workshop on Power Plant Studies

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

Roadmap in Mask Fab for Particles/Component Performance

Roadmap in Mask Fab for Particles/Component Performance Accelerating the next technology revolution Roadmap in Mask Fab for Particles/Component Performance Frank Goodwin, Vibhu Jindal, Patrick Kearney, Ranganath Teki, Jenah Harris-Jones, Andy Ma, Arun John

More information

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita EUVL R&D Program in NewSUBARU University of Hyogo Hiroo Kinoshita NewSUBARU Synchrotron Facilities Reflectometer (BL10) IL tool Outgas measu rment system Interference Lithography & New Resist evaluation

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion Debbie Gustafson ENERGETIQ 7/16/2009 Agenda Background on the EQ-10 Electrodeless Z-Pinch TM EUV source Detailed characterization of the

More information

Metal vapor micro-jet controls material redistribution in laser powder. bed fusion additive manufacturing

Metal vapor micro-jet controls material redistribution in laser powder. bed fusion additive manufacturing Metal vapor micro-jet controls material redistribution in laser powder bed fusion additive manufacturing Sonny Ly 1, Alexander M. Rubenchik 2, Saad A. Khairallah 3, Gabe Guss 4 and Manyalibo J. Matthews

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Crystalline Silicon Solar Cells With Two Different Metals Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8588,

More information

Previous Lecture. Vacuum & Plasma systems for. Dry etching

Previous Lecture. Vacuum & Plasma systems for. Dry etching Previous Lecture Vacuum & Plasma systems for Dry etching Lecture 9: Evaporation & sputtering Objectives From this evaporation lecture you will learn: Evaporator system layout & parts Vapor pressure Crucible

More information

Silicon Microparticle Ejection Using Mist-jet Technology

Silicon Microparticle Ejection Using Mist-jet Technology Yokoyama et al.: Silicon Microparticle Ejection Using Mist-jet Technology (1/5) [Technical Paper] Silicon Microparticle Ejection Using Mist-jet Technology Yoshinori Yokoyama*, Takaaki Murakami*, Takashi

More information

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial?

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial? It s very small world Electronics and Microelectronics AE4B34EM 9. lecture IC processing technology Wafer fabrication Lithography How to get 1 000 000 000 Components to 1 cm 2 Human hair on the surface

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

- Particulate matter (PM10, 2.5, 1) -Coarse region (2.5µm < dp <10µm)

- Particulate matter (PM10, 2.5, 1) -Coarse region (2.5µm < dp <10µm) - Particulate matter (PM10, 2.5, 1) The Suspension of fine solids particles or liquid droplets or mixture of them in the gas or liquid -Coarse region (2.5µm < dp

More information

Materials surface damage and modification under high power plasma exposures in relevant inertial fusion reactor conditions

Materials surface damage and modification under high power plasma exposures in relevant inertial fusion reactor conditions Materials surface damage and modification under high power plasma exposures in relevant inertial fusion reactor conditions A. Marchenko 1, O. Byrka 1, I. Garkusha 1, V. Makhlaj 1, V. Taran 1, S. Herashchenko

More information

Atomic Layer Deposition (ALD)

Atomic Layer Deposition (ALD) Atomic Layer Deposition (ALD) ALD provides Uniform, controlled, conformal deposition of oxide, nitride, and metal thin films on a nanometer scale. ALD is a self limiting thin film deposition technique

More information

NSF Center for Micro and Nanoscale Contamination Control

NSF Center for Micro and Nanoscale Contamination Control NSF Center for Micro and Nanoscale Contamination Control Removal of Nanoscale Particles from EUV Mask Layers by Laser Induced Shockwaves and Megasonics Ahmed Busnaina* and J. G. Park** * W.L.Smith Professor

More information

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance Ch. 5: p-n Junction Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance of functions such as rectification,

More information

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to Supporting Information: Substrate preparation and SLG growth: All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to aid in visual inspection of the graphene samples. Prior

More information

Akihito Kumamoto, Tetsuya Miyashita, Chihiro Kaito and Yoshio Saito Ritsumeikan University

Akihito Kumamoto, Tetsuya Miyashita, Chihiro Kaito and Yoshio Saito Ritsumeikan University Akihito Kumamoto, Tetsuya Miyashita, Chihiro Kaito and Yoshio Saito Ritsumeikan University The workshop held from September 27 to October 1, 2010 at the Friedrich Schiller University Jena, Germany Dust

More information

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography U. Stamm, I. Ahmad, I. Balogh, H. Birner, D. Bolshukhin, J. Brudermann, S. Enke, F. Flohrer, K. Gäbel, S. Götze, G. Hergenhan,

More information

(12) (10) Patent No.: US 6,968,850 B2. Chan et al. (45) Date of Patent: Nov. 29, 2005

(12) (10) Patent No.: US 6,968,850 B2. Chan et al. (45) Date of Patent: Nov. 29, 2005 United States Patent USOO696885OB2 (12) (10) Patent No.: US 6,968,850 B2 Chan et al. (45) Date of Patent: Nov. 29, 2005 (54) IN-SITU CLEANING OF LIGHT SOURCE 2004/0011381 A1 1/2004 Klebanoff et al. COLLECTOR

More information

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS Simple and cost-effective introduction of PERC technology into the mass production of solar cells Kerstin Strauch, Florian Schwarz, Sebastian Gatz 1 Introduction

More information

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber

More information

Development Status of EUVL Blank and Substrate

Development Status of EUVL Blank and Substrate Development Status of EUVL Blank and Substrate Asahi Glass Company Toshiyuki Uno 1 Contents 1. Introduction 2. Blank defect reduction 1. Inspection capability 2. Substrate 3. ML blank 4. Absorber 3. Integrated

More information

Supplementary Figure 1 Optical properties of the DLS. (a) The transmittance of water and

Supplementary Figure 1 Optical properties of the DLS. (a) The transmittance of water and Supplementary Figure 1 Optical properties of the DLS. (a) The transmittance of water and the DLS in the wavelength range of 300-1500 nm (b) the reflectance of the DLS structure in the wavelength range

More information

Production of Lunar Oxygen by Vacuum Pyrolysis

Production of Lunar Oxygen by Vacuum Pyrolysis Lunar OXygen Project Production of Lunar Oxygen by Vacuum Pyrolysis Dr. Eric Cardiff, Brian Pomeroy NASA GSFC Lt. John Matchett USAF / GWU Goals Demonstrate the production of oxygen from a lunar simulant

More information

2008 Summer School on Spin Transfer Torque

2008 Summer School on Spin Transfer Torque 2008 Summer School on Spin Transfer Torque Nano-scale device fabrication 2-July-2008 Byoung-Chul Min Center for Spintronics Research Korea Institute of Science and Technology Introduction Moore s Law

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Improvement of gas barrier properties by combination of polymer film and gas barrier layer

Improvement of gas barrier properties by combination of polymer film and gas barrier layer Improvement of gas barrier properties by combination of polymer film and gas barrier Y. Tsumagari, H. Murakami, K. Iseki and S. Yokoyama Toyobo Co., LTD. RESEARCH CENTER, - Katata 2-chome, Otsu, Shiga,

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

High-power DPP EUV source development toward HVM

High-power DPP EUV source development toward HVM High-power DPP EUV source development toward HVM Yusuke Teramoto, Zenzo Narihiro, Daiki Yamatani, Takuma Yokoyama, Kazunori Bessho, Yuki Joshima, Takahiro Shirai, Shinsuke Mouri, Takahiro Inoue, Hiroshi

More information

GLM General information. Technical Datasheet

GLM General information. Technical Datasheet GLM 2060 Nanocomposite SU-8-negative tone photo-epoxy for layers from 6.0 to 50µm Technical Datasheet Gersteltec Sarl. Générale Guisan 26, 1009, Pully Switzerland Switzerland / Israel / Taiwan Contact:

More information

3. EXPERIMENTAL. 3.1 The Designed ESP

3. EXPERIMENTAL. 3.1 The Designed ESP 24 3. EXPERIMENTAL In the present work, an appropriate electrostatic precipitator (ESP) was designed and built. Its collection performance was investigated under laboratory conditions by varying operation

More information

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION Journal of Optoelectronics and Advanced Materials Vol. 7, No. 3, June 2005, p. 1191-1195 Invited lecture PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION J. Ihlemann * Laser-Laboratorium Göttingen e.v.,

More information

Biofuels GS 2 Measuring Course Part II, DTU, Feb 2 6, 2009 Experiments in the entrained flow reactor

Biofuels GS 2 Measuring Course Part II, DTU, Feb 2 6, 2009 Experiments in the entrained flow reactor Biofuels GS 2 Measuring Course Part II, DTU, Feb 2 6, 2009 Experiments in the entrained flow reactor Frida Claesson (ÅA) Johanna Olsson (CTU) Kavitha Pathmanathan (NTNU) Samira Telschow (DTU) Liang Wang

More information

Femtosecond laser pre-pulse technology for LPP EUV source

Femtosecond laser pre-pulse technology for LPP EUV source Femtosecond laser pre-pulse technology for LPP EUV source A. Vinokhodov*, V. Krivtsun*, **, M.Krivokorytov*, Yu. Sidelnikov*, **, S.Chekalin**, V.Kompanets**, A. Melnikov**, K. Koshelev*, ** * EUV Labs,

More information

White Paper Adhesives Sealants Tapes

White Paper Adhesives Sealants Tapes Is LED the UV technology of the future? An objective comparison between LED and UV technology For many years UV technology has been a reliable method for the curing of photo-reactive chemicals. In response

More information

History of Electrical Precipitation

History of Electrical Precipitation History of Electrical Precipitation Date 1600 1745 1824 1878 1885 Significance William Gilbert, English court physician, publishes De Magnete Benjamin Franklin describes the effects of points in drawing

More information

Laser Produced Plasma for Production EUV Lithography

Laser Produced Plasma for Production EUV Lithography TRW / Cutting Edge Optronics Laser Produced Plasma for Production EUV Lithography EUVL Source Workshop October 29, 2001 TRW/CEO Laser-Produced Plasma (LPP) EUV Source Development and Commercialization

More information

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film layer at the surface Typically gas phase reactions

More information

Your Supplier for Fused Silica

Your Supplier for Fused Silica Your Supplier for Fused Silica Characteristics Our Company successfully developed synthetic fused silica SK-1300 as a result of significant improvements made by the conventional VAD (vapor-phase axial

More information

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION Uwe Dietze Davide Dattilo SUSS MicroTec OUTLINE Background EUVL Mask Life Time Concerns Potential Root Causes for Ru Damage Solutions & Mitigation

More information

Transparent oxides for selective contacts and passivation in heterojunction silicon solar cells

Transparent oxides for selective contacts and passivation in heterojunction silicon solar cells Transparent oxides for selective contacts and passivation in heterojunction silicon solar cells Francesca Menchini Photovoltaic Technologies Laboratory, ENEA Casaccia LIMS 2018 17-18 maggio 2018 Outline

More information

Debris transport analysis at the intermediate focus of an extreme ultraviolet light source. John Sporre David N. Ruzic

Debris transport analysis at the intermediate focus of an extreme ultraviolet light source. John Sporre David N. Ruzic Debris transport analysis at the intermediate focus of an extreme ultraviolet light source John Sporre David N. Ruzic J. Micro/Nanolith. MEMS MOEMS 11(2), 021117 (Apr Jun 2012) Debris transport analysis

More information

Debris transport analysis at the intermediate focus of an extreme ultraviolet light source

Debris transport analysis at the intermediate focus of an extreme ultraviolet light source Debris transport analysis at the intermediate focus of an extreme ultraviolet light source John Sporre David N. Ruzic J. Micro/Nanolith. MEMS MOEMS 11(2), 021117 (Apr Jun 2012) Debris transport analysis

More information

February 14, 2013 Koto Electric Ltd., Co. Shigeo Onitake.

February 14, 2013 Koto Electric Ltd., Co. Shigeo Onitake. February 14, 2013 Koto Electric Ltd., Co. Shigeo Onitake http://www.koto-jp.com What is the surface reformation method by UV irradiation? Pretreatment for electroless plating using UV irradiation as a

More information

III Fabrication of the samples

III Fabrication of the samples III Fabrication of the samples The fabrication of the samples for SPR measurement consists of three steps. It begins with glass substrates cleaning using ultrasonication, followed by the deposition of

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

Thin Film Coating on Particles and Its Application to SO 2 and NO Removal by Plasma Process

Thin Film Coating on Particles and Its Application to SO 2 and NO Removal by Plasma Process 2012 International Conference on Future Environment and Energy IPCBEE vol.28(2012) (2012)IACSIT Press, Singapoore Thin Film Coating on Particles and Its Application to SO 2 and NO Removal by Plasma Process

More information

New Advances using Handheld XRF Technology for the Prevention of Flow-Accelerated Corrosion (FAC)

New Advances using Handheld XRF Technology for the Prevention of Flow-Accelerated Corrosion (FAC) New Advances using Handheld XRF Technology for the Prevention of Flow-Accelerated Corrosion (FAC) Joey Cheverie, Vice President Elemental Controls Ltd www.elementalcontrols.com 1 Agenda Flow-accelerated

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

The image part with relationship ID rid4 was not found in the file. Welcome

The image part with relationship ID rid4 was not found in the file. Welcome The image part with relationship ID rid4 was not found in the file. Welcome Trace Metals Analysis: Impurity Determinations By Thomas Kozikowski Chemist, R&D at Inorganic Ventures Key Considerations What

More information

Technological Aspects of Metal Nanopowders

Technological Aspects of Metal Nanopowders PHYSICS and CHEMISTRY of NANOMATERIALS, lecture 6 Alexander A. Gromov gromov@tpu.ru Chair of Silicates and Nanomaterials, Faculty of Chemical Technology, Tomsk Polytechnic University, RUSSIA Technological

More information

New Dual Magnetron Plasma Source Designed For Large Area Substrate Pretreatment and Oxide Film Deposition P. Morse, R. Lovro, M. Rost, and J.

New Dual Magnetron Plasma Source Designed For Large Area Substrate Pretreatment and Oxide Film Deposition P. Morse, R. Lovro, M. Rost, and J. New Dual Magnetron Plasma Source Designed For Large Area Substrate Pretreatment and Oxide Film Deposition P. Morse, R. Lovro, M. Rost, and J. German, Road Map Source Design Theory of Operation Experimental

More information

THERMAL SPRAY COATINGS

THERMAL SPRAY COATINGS THERMAL SPRAY COATINGS THERMAL SPRAY is a group of processes in which metals, alloys, ceramics, plastics and composite materials in the form of powder, wire, or rod are fed to a torch or gun with which

More information

Slurry concentration [Vol.%]

Slurry concentration [Vol.%] 6. Discussions 6.1 Discussions of rheological properties on the starting slurries and the dependence on porosity as well as the pore size distribution The analysis performed in the previous section (especially

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 1400 ma-n 1400 is a negative tone photoresist series designed for the use in microelectronics and microsystems. The resists are

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes, and Yuepeng Deng Department of Materials Science and Engineering University

More information

Screening, Definition: The unit involved is called a screen.

Screening, Definition: The unit involved is called a screen. Screening, Definition: Screening is a unit operation that separates materials in and/or on water (found in different sizes) from water and from entering water treatment facilities and mains. The unit involved

More information

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating Jpn. J. Appl. Phys. Vol. 39 (2000) pp. 2492 2496 Part, No. 5A, May 2000 c 2000 The Japan Society of Applied Physics Passivation of O 2 / Interfaces Using High-Pressure-H 2 O-Vapor Heating Keiji SAKAMOTO

More information

Ion-plasma technologies and equipment

Ion-plasma technologies and equipment Ion-plasma technologies and equipment VACUUM ION-PLASMA INSTALLATIONS OF «OPAL» SERIES «Opal» series ion-plasma installations are designed for deposition of low-emission, reflective and toned coatings

More information

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS - Current results and future approaches in Wafer-level-packaging FRANK ROSCHER Fraunhofer ENAS Chemnitz System Packaging Page 1 System Packaging Outline: Wafer level packaging for MEMS

More information

Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes

Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes purify protect transport Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes 2010 International Workshop on EUV Lithography June 22-24, 2010 Annie Xia, Sr. Applications

More information

Surfaces and barrier performance. Charles A Bishop C.A.Bishop Consulting Ltd

Surfaces and barrier performance. Charles A Bishop C.A.Bishop Consulting Ltd Surfaces and barrier performance Charles A Bishop C.A.Bishop Consulting Ltd www.cabuk1.co.uk Surface contamination debris organic contamination water - O - - O - H + H + H + - O - - O - - O - - O - - O

More information

Ion Beams and Sources at INFN- LNL. A. Facco, head F. Scarpa, A. Galatà, D. Martini, E. Sattin

Ion Beams and Sources at INFN- LNL. A. Facco, head F. Scarpa, A. Galatà, D. Martini, E. Sattin Ion Beams and Sources at INFN- LNL A. Facco, head F. Scarpa, A. Galatà, D. Martini, E. Sattin LNL 1-5 October 2012 SNEAP 2012 Arguments Negative Injector Negative Ion Sources Negative Beams Negative Ion

More information

Effect of substrate heating on elimination of pinholes in sputtering deposited SiO2 films on LiNbO3 single crystal substrates

Effect of substrate heating on elimination of pinholes in sputtering deposited SiO2 films on LiNbO3 single crystal substrates Thin Solid Films 335 (1998) 117-121 Effect of substrate heating on elimination of pinholes in sputtering deposited SiO2 films on LiNbO3 single crystal substrates Hirotoshi Nagata a, *, Tetsuya Fujino b,

More information

Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures

Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures EXTATIC WELCOME WEEK Ellie Floyd Barte, M.Sc 23 September 2017 Outline Introduction and Motivation Experiments

More information

AIMCAL R2R Conference

AIMCAL R2R Conference Contents Introduction Markets OLED Structures Technology Fabrication Process Evaluation (Microstructure & etc) Summary Introduction [Merit of Rigid OLED Display] Cheap product cost Mass production Free

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Large Area Coating for Glazing IOP Vacuum Symposium Daresbury 11 th Feb 2010

Large Area Coating for Glazing IOP Vacuum Symposium Daresbury 11 th Feb 2010 Large Area Coating for Glazing IOP Vacuum Symposium Daresbury 11 th Feb 2010 Off-Line Coatings Technology Group Pilkington European Technology Centre 2 NSG Group Pilkington a member of NSG Group from June

More information

Systems for Mӧssbauer Spectroscopy

Systems for Mӧssbauer Spectroscopy Systems for Mӧssbauer Spectroscopy CRYO Industries of America, Inc. CRYO Systems for Mӧssbauer Spectroscopy Cryo Industries of America, Inc. has numerous designs for Mӧssbauer Spectroscopy. In the most

More information

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Fundamental Characteristics of a Microwave Discharge Type Plasma Source Working under Atmosphere Pressure

Fundamental Characteristics of a Microwave Discharge Type Plasma Source Working under Atmosphere Pressure Fundamental Characteristics of a Microwave Discharge Type Plasma Source Working under Atmosphere Pressure KOBAYASHI Akira*, TAKAO Yoshiyuki**, KOMURASAKI Kimiya*** Abstract The microwave discharge plasma

More information

I. PHYSICAL ELECTRONICS. Prof. W. B. Nottingham L. E. Sprague C. J. Marcinkowski R. Steinberg W. E. Mutter M. Wilkinson A. ELECTRON-EMISSION PROBLEMS

I. PHYSICAL ELECTRONICS. Prof. W. B. Nottingham L. E. Sprague C. J. Marcinkowski R. Steinberg W. E. Mutter M. Wilkinson A. ELECTRON-EMISSION PROBLEMS I. PHYSICAL ELECTRONICS Prof. W. B. Nottingham L. E. Sprague C. J. Marcinkowski R. Steinberg W. E. Mutter M. Wilkinson A. ELECTRON-EMISSION PROBLEMS 1. Work Functions and Electrical Conductivity of Oxide-Coated

More information

Si DRIE APPLICATION In Corial 210IL

Si DRIE APPLICATION In Corial 210IL Si DRIE APPLICATION In Corial 210IL CORIAL 210IL ICP-RIE equipment for deep Si etching applications Enlarged functionality with capability to deep etch silicon, silicon carbide, glass, sapphire, and quartz

More information

SCHOOL OF COMPUTING, ENGINEERING AND MATHEMATICS SEMESTER 1 EXAMINATIONS 2015/2016 ME257. Fluid Dynamics

SCHOOL OF COMPUTING, ENGINEERING AND MATHEMATICS SEMESTER 1 EXAMINATIONS 2015/2016 ME257. Fluid Dynamics s SCHOOL OF COMPUTING, ENGINEERING AND MATHEMATICS SEMESTER 1 EXAMINATIONS 2015/2016 ME257 Fluid Dynamics Time allowed: TWO hours Answer: Answer TWO from THREE questions in section A and TWO from THREE

More information

Laser treatment of gravure-printed ITO films on PET

Laser treatment of gravure-printed ITO films on PET Laser treatment of gravure-printed ITO films on PET Howard V Snelling, Anton A Serkov, Jack Eden, Rob J Farley Physics, School of Mathematical and Physical Sciences, University of Hull, HU6 7RX, UK Presentation

More information

Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of

Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of 1968 Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of Science 2005 Development of Jet 1 Demo EUV Source

More information

History of Ti:sapphire and Ce-doped UV Lasers. Peter F. Moulton Q-Peak, Inc. ISLNOM-3 July 21, 2003 Keystone, CO

History of Ti:sapphire and Ce-doped UV Lasers. Peter F. Moulton Q-Peak, Inc. ISLNOM-3 July 21, 2003 Keystone, CO History of Ti:sapphire and Ce-doped UV Lasers Peter F. Moulton Q-Peak, Inc. ISLNOM-3 July 21, 2003 Keystone, CO Outline Background of laser development Ce-doped lasers Ti:sapphire laser Stimulated polaritons

More information

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE AC 2011-2416: MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE Mustafa G. Guvench, University of Southern Maine Mustafa G. Guvench received M.S.

More information

NONTRADITIONAL MANUFACTURING PROCESSES

NONTRADITIONAL MANUFACTURING PROCESSES NONTRADITIONAL MANUFACTURING PROCESSES Lasers & Laser Beam Machining Basic NTM Process Groups: * Thermal NTM Processes - Laser Beam Machining (LBM) - Electron Beam Machining (EBM) - Plasma Arc Machining

More information

RainMaker Humidification System for Precise Delivery of Water Vapor into Atmospheric and Vacuum Applications

RainMaker Humidification System for Precise Delivery of Water Vapor into Atmospheric and Vacuum Applications RainMaker Humidification System for Precise Delivery of Water Vapor into Atmospheric and Vacuum Applications By Jeffrey Spiegelman Water vapor has multiple applications across industries including semiconductor,

More information

In-situ laser-induced contamination monitoring using long-distance microscopy

In-situ laser-induced contamination monitoring using long-distance microscopy In-situ laser-induced contamination monitoring using long-distance microscopy Paul Wagner a, Helmut Schröder* a, Wolfgang Riede a a German Aerospace Center (DLR), Institute of Technical Physics, Pfaffenwaldring

More information

Thermal Conductivity and Sintering Behavior of Hafnia-based Thermal Barrier Coating Using EB-PVD

Thermal Conductivity and Sintering Behavior of Hafnia-based Thermal Barrier Coating Using EB-PVD Proceedings of the International Gas Turbine Congress 2003 Tokyo November 2-7, 2003 IGTC2003Tokyo TS-131 Thermal Conductivity and Sintering Behavior of Hafnia-based Thermal Barrier Coating Using EB-PVD

More information