Novel Polyphenol Base Molecular Resist Having High Thermal Resistance

Size: px
Start display at page:

Download "Novel Polyphenol Base Molecular Resist Having High Thermal Resistance"

Transcription

1 Novel olyphenol Base Molecular Resist Having High Thermal Resistance Taku Hirayama, Takeyoshi Mimura, Jun Iwashita, Makiko Irie, Daiju hiono, Hideo Hada and Takeshi Iwai TKY KA KGY C., LTD International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

2 #2 Contents Introduction Concept Evaluation results of new molecular glasses Thermal property Dissolution curve Resolution on EB exposure ummary 2008 International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

3 Key lithography-related characteristics and resist requirements on the ITR 2007 Table Key lithography-related characteristics and resist requirements on the ITR #3 Year of production DRAM half pitch (nm) MU Gate in resist (nm) MU hysical Gate Length (nm) Gate CD control (3 sigma) (nm) Low frequency line width roughness (3 sigma, <8% of CD) (nm) 193 nm immersion with water 193 nm immersion double patterning 193 nm immersion double patterning EUV 193 nm immersion with other fluids and lens materials ML2, Imprint EUV Innovative 193 nm immersion ML2, Imprint, Innovative technoligy Yellow character : Manufacturable solutions are known ink character : Interim solutions are known Red character : Manufacturable solutions are NT known ML2: maskless lithography EUV: extreme ultraviolet 2008 International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

4 #4 Molecular size comparison approx. 4 nm approx. 2 nm olymer ize * * 50 Calculation method : MM2 Bond energy : kcal/mol (a) olymer resists (b) Molecular resists (MG) ubstrate ubstrate Figure chematic illustrations of cross sectional resist pattern based on (a) the conventional polymeric material and (b) the low molecular base matrix. T. Kadota, et.al., roc. IE, 4345 (2001) International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

5 #5 Molecular glass (MG) candidates on our work so far <ositive tone type resist> -tboc H 3 C R R R = H 3 C R R R R T. Hirayama et. al., IE (2006). H Jpn. Kokai Tokkyo Koho J R R R = H or R R H 3 C R R H D. hiono et. al., Jpn. J. Appl. hys. 45 (2006) <Negative tone type resist> T. Hirayama et. al., J. hotopolym. ci. Technol. 17 (2004) 435. R R R = H or H 3 C R R R R K. Kojima et. al., J. hotopolym. ci. Technol. 19 (2006) International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

6 #6 Distribution of protecting group number R R R R H R R R R where R is H or protecting group R R R R where R is H or protecting group 100 artially protected 25X-MBA (25X-MBA-) rotecting ratio is determined to be approx. 32 mol% by using 13 C-NMR Fractionated 25X-MBA- rotecting ratio is determined to be approx. 33 mol% by using 13 C-NMR and HLC 100 rot-mad-2 riginally designed to have two protecting groups onto the core Content (%) Content (%) Content (%) Number of protecting group in a molecule Number of protecting group in a molecule Number of protecting group in a molecule C/N EUV : rocess Technology LER(3 sigma) =9.9 nm LER(3 sigma) =5.8 nm 100nm hp feature on EB 100nm hp feature on EB 100nm hp feature on EB Film thickness;100nm Exposure;HL800D (70kV) Development;NMD % of 0.26 N TMAH for 60s puddle The resist consisting of Tek25X-DCADM achieved 28 nm resolution and 3.6 nm of LER on 45 nm feature including low frequency roughness region on EUV exposure by using HiNA3 of AET, Japan D. hiono et al., roc. IE, 6519 (2007) 65193U International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK LER(3 sigma) =5.6 nm Thickness : 58 nm Dose : 12.2 mj/cm 2

7 #7 Concept To achieve better resolution using MG material Number of protecting group increases, and position of protecting groups should be defined in the structure in order to increase dissolution contrast to be comparable to common polymeric materials Higher thermal resistance should be realized, also to be similar to polymeric materials LER (nm) Number of deproteciton reaction required for solubility change (contrast) Variation (Distribution of deprotection reaction) LER strongly depends on the number of deprotection reaction for solubility change and its distribution. H. Fukuda: Jpn. J. Appl. hys., 42 (2003) International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

8 #8 MGs based on polyphenol In many of papers concerning MG material and also our previous reports, some of un-protected MG show enough high glass transition temperature, Tg, but after protection reaction, the Tg of (partially or fully) protected MGs was usually getting lower than that of unprotected due to, maybe, lack of hydrogen bonding and intermolecular interaction A. D. ilval et al., roc. IE, 6923 (2008) 69231L-1. T. Hirayama et. al., J. hotopolym. ci. Technol., 17 (2004) 435. According to our concept for MGs which have polyphenol cores and protecting groups of precise number at the specific position in the structure, we prepared several candidates to increase number of protecting group and thermal resistance by increasing number of protecting groups and molecular weight R R R R R R where R is H or protecting group artially protected 25X-MBA (25X-MBA-) H rot-quad-4 M=~2K H rot-mad-2 M=1238 H H H rot-quad-3 M=~1.5K rot-ct-4 M=~2.5K : rotecting group 2008 International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

9 How to define the thermal resistance of protected base matrix #9 The Tg is a good indicator for thermal resistance property of the resist on realistic lithography process and is one of the important factor for not only polymeric resist but MG resist In some case, MG material of which alkaline soluble group is fully or partially protected doesn t show apparent glass transition behavior on thermal analysis such as DC so that we considered how we could define the thermal property and decided to measure thermal flow starting temperature on contact hole feature by the following procedure; Development ost bake CD hrink is observed by CD-EM The resist film is exposed by 248nm light and baked as EB treatment Note; the resist solution used is formulated with protected base resin, AG, amine and solvent, meaning that those are model REIT solutions, not Un-protected base resin solution ost bake temperature No post bake The exposed film is developed and 170 nm of isolated hole feature is obtained 150 C 155 C ost bake is applied at various temperature and the CD is measured. The post bake temperature where 10% of CD shrink occurs, is defined as thermal flow starting temperature 160 C Common polymeric resist (H/Acryl hybrid) 10% of CD shrink is observed at 159 C from the fitting curve of post bake temperature-cd plots 2008 International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

10 Relationship between Tg of unprotected MGs and thermal flow temperature #10 Thermal flow starting temperature ( C) Various common polymeric resists based on H/Acryl polymer shows 130 to 160 C of thermal flow temperature through same investigation procedure H H Tg of unprotected MG ( C) rot-ct-4 M=~2.5K H H H Note that for thermal flow measurement, test resists are formulated with rotected MG, AG and amine rot-mad-2 M=1238 rot-quad-3 M=~1.5K rot-quad-4 M=~2K 2008 International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

11 #11 Tg dependency on molecular weight 240 Tg of unprotected MG ( C) H H Molecular weight Unprot-ct-4 H H H H Unprot-Mad-2 M=941 Unprot-Quad-3 Unprot-Quad-4 : Alkaline soluble group 2008 International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

12 #12 Contrast curve Normalized film hickness rot-mad-2 rot-quad-3 rot-ct Dose (µm/cm 2 ) ubstrate:i HMD treated 90-36s, Thickness:100nm Tool: HL800D 70keV AG and amine formulation is the same among all the test samples including rot-mad-2, rot-quad-3 and rot-ct-4. EUVR-1123 is TK s EUV resist of which formulation has been optimized for the process The resist consisting of rot-ct-4 shows good dissolution contrast as well as that with rot-quad International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

13 #13 Exposure results on EB tool ample LWR EL ensitivity Beam size (nm) 100 EUVR nm 8.0% 48.0 µc/cm 2 Test resist using rot-ct nm 7.3% 30.0 µc/cm International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK EUVR-1123 is TK s EUV resist of which formulation has been optimized for the process ubstrate: Bare-ili n (HMD treatment, 90 C-36 sec) Resist Film Thickness: 60 nm EB Writer: Hitachi HL-800D (VB, 70 kv, 7 A/cm 2 ) Target pattern: 100 nm L (duty ratio, 1:1) Development: NMD-3, 2.38 wt% TMAHaq., 60 sec, LD-nozzle Rinse: Distilled water, 15 sec

14 #14 ummary We have synthesized polyohenol base molecular glass (MG) candidates based on the concept to increase number of protecting groups and molecular weight and to attach the protecting groups in the specific positions of the MG structure In order to define thermal resistance of base materials, measurement of thermal flow starting temperature using contact hole feature is utilized rot-ct-4 which has four protecting group showed enough high thermal flow starting temperature ~154 C, comparable to that of common polymeric material Test resist formulated with rot-ct-4 partially achieved 50 nm resolution on EB exposure tool (70 kv, VB) and it seems to be comparable to the result of EUVR EUV exposure test is needed to confirm the performance of this material 2008 International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

15 #15 Appendix; EUV exposure result of EUVR-1123 at I LWR 6.0nm 50nm L RCD 50.9nm Dose 23.6mJ/cm 2 45nm L RCD 46.7nm Dose 24.3mJ/cm 2 40nm L RCD 39.4nm Dose 26.0mJ/cm nm L RCD 31.4nm Dose 29.6mJ/cm nm L RCD 29.0nm Dose 35.4mJ/cm 2 25nm L RCD 28.5nm Dose 41.0mJ/cm 2 rocess conditions ubstrate i Resist EUVR-1123, 80nm FT AB 120 C for 60 sec EUV tool Interferometer@I EB 100 C for 60 sec Data courtesy of IMEC 2008 International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

16 #16 Acknowledgements The authors would like to thank Mr.Akira Yoshitomo and Mr.Tatsuya Iwai of Honshu Chemical Industry co., Ltd. for their experimental supports International ymposium, 1st of ctober 2008, Lake Tahoe, California T.Hirayama, TK

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

MCC. NANO PMMA and Copolymer

MCC. NANO PMMA and Copolymer MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub 0.1µm imaging for many imaging and non-imaging microelectronic

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Sub-5 nm Structures Process Development and Fabrication Over Large Areas A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication

More information

Lithography Tool Package

Lithography Tool Package 4. Development Thomas Anhøj and Tine Greibe Outline 1. Introduction Process steps in UV lithography 2. Spin coating Resist composition Pre-treatment Principle Softbake Spin curve 3. Exposure Hardware Process

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers) EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 1500 Series Positive Tone Photoresists APPLICATION General purpose positive tone photoresists featuring excellent substrate adhesion for demanding wet etch

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

AZ P4620 Photoresist Data Package

AZ P4620 Photoresist Data Package AZ P4620 Photoresist Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations or warranties, either express or implied, whether of merchantable

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory Nanofabrication Prof. Stephen Y. Chou Department of Electrical Engineering Princeton University 1 Acknowledgment Dr. Paul Fischer Dr. Yun Wang Dr. Jay Guo Dr. Peter Klauss Dr. Jim Wang Dr. Longtin He Dr.

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

INTERVIA BPP-10 Photoresist

INTERVIA BPP-10 Photoresist Technical Data Sheet INTERVIA BPP-10 Photoresist For Advanced Packaging Applications Description Regional Product Availability Advantages INTERVIA BPP-10 Photoresist is a general-purpose, multi-wavelength

More information

Positive Photoresists

Positive Photoresists Positive Photoresists Gesellschaft für chemische Materialien spezieller Photoresistsysteme mbh Positive Photoresists map 1200 series Thick resists map 1275, map 1275 HV Unique features of the positive

More information

Resist Characterization for EUV- Lithography

Resist Characterization for EUV- Lithography Resist Characterization for EUV- Lithography 2. Int. EUVL-Symposium, Antwerp, B Wolf-Dieter Domke 1, Stefan Hirscher 2, liver Kirch 3, Karl Kragler 1, Klaus Lowack 1, 1 Infineon Technologies AG, 91050

More information

THE INFLUENCE OF NAPHTHENIC ACID AND SULFUR COMPOUND STRUCTURE ON GLOBAL CRUDE CORROSIVITY UNDER VACUUM DISTILLATION CONDITIONS

THE INFLUENCE OF NAPHTHENIC ACID AND SULFUR COMPOUND STRUCTURE ON GLOBAL CRUDE CORROSIVITY UNDER VACUUM DISTILLATION CONDITIONS 1 THE INFLUENCE OF NAPHTHENIC ACID AND SULFUR COMPOUND STRUCTURE ON GLOBAL CRUDE CORROSIVITY UNDER VACUUM DISTILLATION CONDITIONS Heather D. Dettman, N. Li and D. Wickramasinghe (NRCan) J. Luo (U. of Alberta)

More information

Cryoconcentration effects during freeze/thaw processing of bulk protein, and possible consequences of frozen storage on protein aggregation

Cryoconcentration effects during freeze/thaw processing of bulk protein, and possible consequences of frozen storage on protein aggregation Cryoconcentration effects during freeze/thaw processing of bulk protein, and possible consequences of frozen storage on protein aggregation Satish K Singh 20 July 2010 Abstract Frozen storage of proteins

More information

Solvent pre-wetting as an effective start-up method for point-of-use filter

Solvent pre-wetting as an effective start-up method for point-of-use filter Solvent pre-wetting as an effective start-up method for point-of-use filter Toru Umeda* a, Shinichi Sugiyama, Takashi Nakamura, Makoto Momota b, Michael Sevegney c, Shuichi Tsuzuki, Toru Numaguchi a a

More information

DuPont MX5000 Series

DuPont MX5000 Series DuPont MX5000 Series DATA SHEET & PROCESSING INFORMATION High Performance Multi-Purpose Polymer Film for MEMS Applications PRODUCT FEATURES/ APPLICATIONS Negative working, aqueous processable dry film

More information

Hydrophilic Modification of Plastic Surface by Using Microwave Plasma Irradiation

Hydrophilic Modification of Plastic Surface by Using Microwave Plasma Irradiation Hydrophilic Modification of Plastic Surface by Using Microwave Plasma Irradiation YOSHIHISA Kumiko : Advanced Applied Science Department, Research Laboratory, Corporate Research & Development YOSHIMURA

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

X-ray Photoelectron Spectroscopy

X-ray Photoelectron Spectroscopy X-ray Photoelectron Spectroscopy X-ray photoelectron spectroscopy (XPS) is a non-destructive technique used to analyze the elemental compositions, chemical and electronic states of materials. XPS has a

More information

NTT-AT Optical Adhesives Lineup. Adhesives / Resins and Sealants for Optical Component Assembly

NTT-AT Optical Adhesives Lineup. Adhesives / Resins and Sealants for Optical Component Assembly NTT-AT Optical Adhesives Lineup Adhesives / Resins and Sealants for Optical Component Assembly The adhesive technology used in optical communications is one of the key technologies we offer. Ask us anything

More information

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Joyce Lowes a, Victor Pham b, Jim Meador a, Charlyn Stroud a, Ferdinand Rosas b, Ramil-Marcelo L. Mercado a, Mark

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Yuanmei Cao, Kimberly Pollard, Travis Acra, Nichelle Gilbert, Richie Peters, Donald Pfettscher Abstract Negative

More information

NIL defect performance toward High volume mass production

NIL defect performance toward High volume mass production NIL defect performance toward High volume mass production Masayuki Hatano a, Kei Kobayashi a, Hiroyuki Kashiwagi a, Hiroshi Tokue a, Takuya Kono a, Nakasugi Tetsuro a, Eun Hyuk Choi b, Wooyung Jung b a

More information

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2000 Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR: SU-8 2100 and SU-8 2150 www.microchem.com SU-8 2000 is a high contrast, epoxy based photoresist designed for micromachining and

More information

New Resin-Film Laminated Steel Sheet for 18 l Cans and Pail Cans UNIVERSAL BRITE Type E

New Resin-Film Laminated Steel Sheet for 18 l Cans and Pail Cans UNIVERSAL BRITE Type E JFE TECHNICAL REPORT No. 9 (Mar. 2007) New Resin-Film Laminated Steel Sheet for 18 l Cans and Pail Cans UNIVERSAL BRITE Type E SUZUKI Takeshi *1 WATANABE Shinsuke *2 Abstract: JFE Steel developed and commercialized

More information

Resolution, LER, and Sensitivity Limitations of Photoresist

Resolution, LER, and Sensitivity Limitations of Photoresist esolution, LE, and Sensitivity Limitations of Photoresist Gregg M. Gallatin 1, Patrick Naulleau,3, Dimitra Niakoula, obert Brainard 3, Elsayed Hassanein 3, ichard Matyi 4, Jim Thackeray 4, Kathleen Spear

More information

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat 1.1149/1.2727414, The Electrochemical Society A New Liquid Precursor for Pure Ruthenium Depositions J. Gatineau, C. Dussarrat Air Liquide Laboratories, Wadai 28, Tsukuba city, Ibaraki Prefecture, 3-4247,

More information

Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and Nano-Sized Boron Nitride

Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and Nano-Sized Boron Nitride The 2012 World Congress on Advances in Civil, Environmental, and Materials Research (ACEM 12) Seoul, Korea, August 26-30, 2012 Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and

More information

Innovation Creativity customer-specific solutions. Product information PHOTORESISTS

Innovation Creativity customer-specific solutions. Product information PHOTORESISTS Product information PHOTORESISTS 1 Customer-specific solutions THE ALLRESIST GMBH Company for chemical Products OUR NEWS for Microstructuring As of January 2016 The executive board The company is represented

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography Ramil-Marcelo L. Mercado, Joyce A. Lowes, Carlton A. Washburn, Douglas J. Guerrero Brewer Science, Inc., 2401 Brewer

More information

Innovation Creativity Customer-specific solutions. Product information PHOTORESISTS

Innovation Creativity Customer-specific solutions. Product information PHOTORESISTS Product information PHOTORESISTS 1 THE ALLRESIST GMBH The executive board The company is represented worldwide with an extensive product range. In addition to our standard products, we also manufacture

More information

Sustainable UV-curable low refractive index resins with novel polymers for polymer cladding materials

Sustainable UV-curable low refractive index resins with novel polymers for polymer cladding materials Sustainable UV-curable low refractive index resins with novel polymers for polymer cladding materials Hiroki Tokoro*, Takako Ishikawa, Nobuyuki Koike, Yohzoh Yamashina DIC Corporation, 12 Yawatakaigan-dori,

More information

FIREX Foam Cryogenic Target Development - Attempt of Residual Voids Reduction with Solid Hydrogen Refractive Index Measurement -

FIREX Foam Cryogenic Target Development - Attempt of Residual Voids Reduction with Solid Hydrogen Refractive Index Measurement - 1 IFE/P6-18 FIREX Foam Cryogenic Target Development - Attempt of Residual Voids Reduction with Solid Hydrogen Refractive Index Measurement - A. Iwamoto 1, T. Fujimura 2 a, H. Sakagami 1, M. Nakai 2, T.

More information

Development of Elastic Polylactic Acid Material Using Electron Beam Radiation

Development of Elastic Polylactic Acid Material Using Electron Beam Radiation ELECTRONICS Development of Elastic Polylactic Acid Material Using Electron Beam Radiation Shinichi KANAZAWA Sumitomo Electric Fine Polymer has developed a technology for fabricating a brand new elastic

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Journal of Photopolymer Science and Technology Volume 28, Number 4 (2015) 531 536 2015SPST Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials Masaki

More information

North America Europe, Middle East and Africa Latin America Asia-Pacific. Table 1. Photo-BCB Formulations

North America Europe, Middle East and Africa Latin America Asia-Pacific. Table 1. Photo-BCB Formulations Processing Procedures CYCLOTENE 4000 Series Advanced Electronics Resins (Photo BCB) Processing Procedures for CYCLOTENE 4000 Series Photo BCB Resins DS2100 Puddle Develop Process Regional Product Availability

More information

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu Multiphoton lithography based 3D micro/nano printing Dr Qin Hu EPSRC Centre for Innovative Manufacturing in Additive Manufacturing University of Nottingham Multiphoton lithography Also known as direct

More information

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique Journal of Photopolymer Science and Technology Volume 30, Number 5 (2017) 539-544 C 2017SPST Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique Ichiro

More information

Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithography

Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithography Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithography Alex K. Raub, a) A. Frauenglass, and S. R. J. Brueck Center for High Technology Materials, University of

More information

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography Eric Louis 1, Igor Makhotkin 1, Erwin Zoethout 1, Stephan Müllender 2 and Fred Bijkerk 1,3 1 FOM Institute for Plasma Physics

More information

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology April 2010 update SNL Geoff Brennecka (PI) Bruce Burckel Matt George Jack Skinner

More information

Comparison between the article and script of thesis

Comparison between the article and script of thesis Comparison between the article and script of thesis All nanomaterials and a part of results presented and discussed in this article titled Efficient multi-metallic anode catalysts in a PEM water electrolyzer

More information

3D printed Nanocellulosic materials and their composite

3D printed Nanocellulosic materials and their composite 3D printed Nanocellulosic materials and their composite By Vincent Li 1, 2 Advised by Professor H.Qi 1,3, and Professor Y. Deng 1, 2 1 Renewable Bioproducts Institute 2 School of Chemical and Biomolecular

More information

Film loss-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening

Film loss-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening -free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening Jaehyuck Choi* a, Jinsu Kim a, Jeff Lowe b, Davide Dattilo c, Soowan Koh a, Jun Yeol Choi a,

More information

ITR Amorphous PLA for coating applications. TOYOBO CO.,LTD. Vylon Department Takashi Miyamoto

ITR Amorphous PLA for coating applications. TOYOBO CO.,LTD. Vylon Department Takashi Miyamoto ITR-2012 Amorphous PLA for coating applications TOYOBO CO.,LTD. Vylon Department Takashi Miyamoto CONTENTS 1. About TOYOBO 2. About VYLOECOL 1Key features 2Molecular design 3Grades and each specification

More information

CARBOXYMETHYL CELLULOSE NANOCOMPOSITES

CARBOXYMETHYL CELLULOSE NANOCOMPOSITES CARBOXYMETHYL CELLULOSE NANOCOMPOSITES YongJae Choi Department of Chemical Engineering and John Simonsen Department of Wood Science & Engineering Oregon State University Outline I. Introduction II. Materials

More information

Total Construction Caliper (approximate):

Total Construction Caliper (approximate): Fasson 2 Mil Silver Void Polyester TC/S815/5#SCK Spec#: 78195 Facestock A 2 mil bright silver voidable polyester film incorporating a pattern release layer which yields the word "VOID" for tamper evidence

More information

Chemical Substance Control Law; CSCL Japan

Chemical Substance Control Law; CSCL Japan Gestión global de la seguridad de productos químicos. Se aplica REACH globalment? 2 de Febrero 2018, ICEX España Exportación e Inversiones Chemical Substance Control Law; CSCL Japan Takahiro Suzuki Product

More information

Characterization of High Performance Polyamides Blends for Injection Molding (November 2014)

Characterization of High Performance Polyamides Blends for Injection Molding (November 2014) Characterization of High Performance Polyamides Blends for Injection Molding (November 2014) Ana Luísa V. D. Moreira Braga, Student of Técnico Lisboa; Prof. António J. B. Correia Diogo, Professor at Técnico

More information

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE K. Saga, H. Kuniyasu, and T. Hattori, M. B. Korzenski*, P.M. Visintin*, T. H. Baum* Sony Corporation Atsugi 243-8585 JAPAN Advanced

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

QUICK AND EASY SEAM TAPE BONDING SYSTEMS, COME RAIN OR SHINE

QUICK AND EASY SEAM TAPE BONDING SYSTEMS, COME RAIN OR SHINE gekko-adhesives.com 01 QUICK AND EASY SEAM TAPE BONDING SYSTEMS, COME RAIN OR SHINE REVOLUTIONARY TIME AND COST SAVING ADHESIVE SOLUTIONS Gekko s range of Turfstikk adhesives offer installers of artificial

More information

Monitoring Of Adhesive Cure Process and Following Evaluation of Adhesive Joint Structure by Acoustic Techniques

Monitoring Of Adhesive Cure Process and Following Evaluation of Adhesive Joint Structure by Acoustic Techniques ECNDT 006 - We... Monitoring Of Adhesive Cure Process and Following Evaluation of Adhesive Joint Structure by Acoustic Techniques Elena Yu. MAEVA, Ina SEVIARYNA, Gilbert B. CHAPMAN, Fedar M. SEVERIN University

More information

A new Glass GEM with a single sided guard-ring structure

A new Glass GEM with a single sided guard-ring structure A new Glass GEM with a single sided guard-ring structure RD-51 session! 5 July, 2013!! Yuki MITSUYA a, Takeshi FUJIWARA b, Hiroyuki TAKAHASHI a!! a Department of Nuclear Engineering and Management, The

More information

Optimizing the Purification of a Standard Chiral Compound Utilizing a Benchtop, Multi-Purpose, Semi-Preparative to Preparative HPLC System

Optimizing the Purification of a Standard Chiral Compound Utilizing a Benchtop, Multi-Purpose, Semi-Preparative to Preparative HPLC System Optimizing the Purification of a Chiral Compound Utilizing a Benchtop, Multi-Purpose, Semi-Preparative to Preparative HPLC System Application Note PHA0111 Keywords Chiral, Enantiomer, HPLC, Normal Phase

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

Photoacid Generator Selection Guide for the electronics industry and energy curable coatings

Photoacid Generator Selection Guide for the electronics industry and energy curable coatings Photoacid Generator election Guide for the electronics industry and energy curable coatings Product election Guide 1 Irgacure on-ionic and Ionic BA develops innovative photoacid compounds for use in UV

More information

D Eggenstein-Leopoldshafen, Germany 2 University of Karlsruhe (TH), Institute for Microstructure Technology (IMT),

D Eggenstein-Leopoldshafen, Germany 2 University of Karlsruhe (TH), Institute for Microstructure Technology (IMT), Sub-µ structured Lotus Surfaces Manufacturing M. Worgull 1, M. Heckele 1, T. Mappes 2, B. Matthis 1, G. Tosello 3, T. Metz 4, J. Gavillet 5, P. Koltay 4, H. N. Hansen 3 1 Forschungszentrum Karlsruhe (FZK),

More information

Synthetic Rubber. For HIPS, MBS and Mass-ABS. Mitsubishi International Corporation

Synthetic Rubber. For HIPS, MBS and Mass-ABS. Mitsubishi International Corporation Synthetic Rubber For HIPS, MBS and Mass-ABS Mitsubishi International Corporation 1 Classification of Diene Rubber Polybutadiene (BR) High Cis BR Butadiene Rubber Styrene-Butadiene Copolymer (SBR) Low Cis

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

GLASS FORMATION AND DISSOLUTION PROPERTIES OF Na 2 O-CaO-P 2 O 5 GLASSES IN SIMULATED BODY FLUIDS

GLASS FORMATION AND DISSOLUTION PROPERTIES OF Na 2 O-CaO-P 2 O 5 GLASSES IN SIMULATED BODY FLUIDS Romanian Reports in Physiscs, Volume 56, No. 3, P. 44-49, 004 GLASS FORMATION AND DISSOLUTION PROPERTIES OF Na O-CaO-P O 5 GLASSES IN SIMULATED BODY FLUIDS V. SIMON AND H. MOCUTA Physics Department, Babes-Bolyai

More information

Effect of Anodizing Potential on the Surface Morphology and Corrosion Property of AZ31 Magnesium Alloy

Effect of Anodizing Potential on the Surface Morphology and Corrosion Property of AZ31 Magnesium Alloy Materials Transactions, Vol. 51, No. 6 (21) pp. 119 to 1113 #21 The Japan Institute of Metals Effect of Anodizing Potential on the Surface Morphology and Corrosion Property of AZ31 Magnesium Alloy S. A.

More information

L Manufacturing of Polymer Composites FS 17 Exercise 2. Exercise 2: Solution

L Manufacturing of Polymer Composites FS 17 Exercise 2. Exercise 2: Solution Exercise 2: Solution MATRIX SYSTEMS ETH Zürich Laboratory of Composite Materials and Adaptive Structures Page 1 Task 1: Polymeric materials a) What part of the composite determines its thermal properties?

More information

Electron Beam Lithography - key enabling technology in nanofabrication. Frank Dirne

Electron Beam Lithography - key enabling technology in nanofabrication. Frank Dirne Electron Beam Lithography - key enabling technology in nanofabrication Frank Dirne Moore s Law (x2/2 yr) Moore s Law EBL Electron Beam Lithography - key enabling technology in nanofabrication - Principles

More information

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES 10.1149/1.2794473, The Electrochemical Society PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES S. Yim a, C. Bonhôte b, J. Lille b, and T. Wu b a Dept. of Chem. and Mat. Engr., San

More information

Deep Lithography for Microfabrication

Deep Lithography for Microfabrication Deep Lithography for Microfabrication Part 2: UV Deep Lithography (UVDL) Luiz O. S. Ferreira Mechanical Engineering Faculty Campinas State University UNICAMP Campinas SP - BRAZIL lotavio@fem.unicamp.br

More information

Characterization of Nano-Scale Fine Precipitates in Al-Mg-Si Alloys for Automotive Applications

Characterization of Nano-Scale Fine Precipitates in Al-Mg-Si Alloys for Automotive Applications UDC 669. 715 721 782 : 629. 11. 011. 5 Characterization of Nano-Scale Fine Precipitates in Al-Mg-Si Alloys for Automotive Applications Makoto SAGA* 1 Naoki MARUYAMA* 1 Abstract Bake-hadenable Al-Mg-Si

More information

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology R.K. Trichur, M. Fowler, J.W. McCutcheon, and M. Daily Brewer Science, Inc. 2401 Brewer Drive Rolla, MO

More information

Crosslinking of Poly(vinyl alcohol) via Bis(-hydroxyethyl) Sulfone

Crosslinking of Poly(vinyl alcohol) via Bis(-hydroxyethyl) Sulfone Polymer Journal, Vol. 36, No. 6, pp. 472 477 (04) Crosslinking of Poly(vinyl alcohol) via Bis(-hydroxyethyl) Sulfone Kazuhiro KUMETA, ; Ichiro NAGASHIMA, Shigetoshi MATSUI, and Kensaku MIZOGUCHI ;y Department

More information

ZERO DEFECTS Entegris Newsletter

ZERO DEFECTS Entegris Newsletter July 215 CONTENTS 1. Entegris News Entegris Reaches Milestones at its i2m Center for Advanced Materials Science Entegris @ SEMICON West 2. Process Stability InVue CR288 Concentration Monitor Application

More information

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance Copyright 2011 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoscience and Nanotechnology Vol. 11, 1 6, 2011 In-Situ Monitoring of Pattern Filling

More information

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip SzePei Lim (Presenter), Jason Chou, Maria Durham, and Dr. Andy Mackie Indium Corporation 1 Outline of Presentation Roadmaps and challenges

More information

Atomic Oxygen-Resistant, Static-Dissipative, Pinhole-Free Coatings for Spacecraft

Atomic Oxygen-Resistant, Static-Dissipative, Pinhole-Free Coatings for Spacecraft Physical Sciences Inc. VG10-109 Atomic Oxygen-Resistant, Static-Dissipative, Pinhole-Free Coatings for Spacecraft Michelle T. Schulberg, Robert H. Krech, Frederick S. Lauten Physical Sciences Inc. Roy

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

Hitachi Anisotropic Conductive Film ANISOLM AC-8955YW. Issued 2007/03/30

Hitachi Anisotropic Conductive Film ANISOLM AC-8955YW. Issued 2007/03/30 Hitachi Chemical Data Sheet Hitachi Anisotropic Conductive Film ANISOLM AC-8955YW Issued 27/3/3 1. Standard specification, bonding condition, storage condition and characteristic...1 2. Precautions in

More information

NACURE Catalyst Selection Guide For Amino Crosslinked Coatings

NACURE Catalyst Selection Guide For Amino Crosslinked Coatings SECIALTY CHEMICALS NACURE Catalyst Selection Guide For Amino Crosslinked Coatings A variety of commercial amino resin crosslinkers are available today for use in thermoset coatings, adhesives and inks.

More information

Dynasol Linear and Radial SEBS copolymers for adhesives formulation

Dynasol Linear and Radial SEBS copolymers for adhesives formulation 1 Dynasol Linear and Radial SEBS copolymers for Global Technology Management Technical Support and Development America Alejandro Esquivel July 11 th 2012 2 Outline: Background Dynasol hydrogenation technology

More information

Filtration on block copolymer solution used in directed self assembly lithography

Filtration on block copolymer solution used in directed self assembly lithography Filtration on block copolymer solution used in directed self assembly lithography Toru Umeda*, Tomoyuki Takakura and Shuichi Tsuzuki Nihon Pall Ltd., 46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki,

More information

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond Hitachi Review Vol. 55 (2006), No. 2 83 Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond Takashi Tsutsumi Masanori Kadotani Go Saito Masahito Mori OVERVIEW: In regard to

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

Solution Vinyl Resin

Solution Vinyl Resin Solution Vinyl Resin Solution Vinyl Resin Vinisol resins are high performance soluble vinyl polymers that can be used alone or in combination with other polymers, including acrylics, urethanes, alkyds

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

5W White SPHWHTA3N500

5W White SPHWHTA3N500 Product Family Data Sheet Rev. 00 2016.11.01 111 High Power LED Ceramic Series 5W White SPHWHTA3N500 Features & Benefits Package : Silicone covered ceramic substrate Dimension : 3.5 mm x 3.5 mm Technology

More information

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION Michael Töpper Fraunhofer Research Institution for Reliability and Microintegration IZM Germany Martin Wilke, Klaus-Dieter

More information

Development of Piezoelectric Nanocomposites for Energy Harvesting and Self-Sensing

Development of Piezoelectric Nanocomposites for Energy Harvesting and Self-Sensing Development of Piezoelectric Nanocomposites for Energy Harvesting and Self- Kenneth J. Loh Assistant Professor Department of Civil & Environmental Engineering University of California, Davis The Applied

More information