New materials for surface energy control of 193 nm photoresists

Size: px
Start display at page:

Download "New materials for surface energy control of 193 nm photoresists"

Transcription

1 New materials for surface energy control of 193 nm photoresists Dan Sanders, Linda Sundberg, Hiroshi Ito, Phil Brock, Ratnam Sooriyakumaran, Hoa Truong, Robert Allen IBM Almaden Research Center, San Jose, CA IBM Corporation

2 193 nm resist No topcoat Stain left by evaporated water droplet Reducing defectivity via surface engineering Film pulling velocity [mm/s] Transition region ~65 Defects [1/cm 2 ] Fast Scanning θ static,receding [ ] Nakagawa et al. Proc. SPIE, Shedd et al. Proc. SPIE, Examples of defects Wallraff et al. Proc. SPIE,

3 Beyond conventional topcoats Current base-soluble topcoat technology Topcoat Wafer Topcoat-free resists Graded topcoat materials Surfaces for high index immersion 3

4 SPIE 2007: Topcoat-free resists show good performance AM2073 / TCX014 P180S90 87nm ± 13nm (ASML 1150i) att. PSM reticle, 0.75NA, annular (0.59 inner outer sigma) AM2073 / Additive 3B AM2073 / Additive 3D Exposure Latitude (%) Exposure Latitude (%) Exposure Latitude (%) DF (µm) PAG leaching 2.49 ppb DF (µm) DF (µm) 3.53 ppb 7.32 ppb 4

5 IBM Almaden Research Center Additive structure strongly impacts surface enrichment JSR AR1682J Additive A Additive B Additive C Additive D JSR B Additive A Additive B Additive C Additive D % Additive % Additive Depth [nm] Depth [nm] R acid-labile n n 5 F 3 C CF 3 R acid-labile F 3 C CF 3 H F 3 C CF 3 H F 3 C CF 3 H A B C D

6 Film structure largely formed during spin-casting 100 AR 1682J w/ Additive E w/ Additive F Normalized PAG Leaching [%] % 16.6% 15.6% % 0.88% No Bake 110 C 130 C PAB Temperature 1.93% Effect of PAB on leaching is minor Consistent across different additives 6

7 Breaking performance barriers with graded topcoats Current base-soluble topcoat technology Topcoat Wafer Topcoat-free resists Graded topcoat materials Surfaces for high index immersion 7

8 Graded topcoats via polymer blending + Blend Deposit Use surface segregation to direct polymers to appropriate interfaces High contact angles (θ s,r 70 ) Good profile control Same process cost as conventional topcoat Can tune properties more independently to break the limiting materials property trade-offs Fluorine rich Acid rich Spin cast Top polymer Fluid contact angles Surface segregation Dissolution rate Bottom polymer Dissolution rate Acid content interactions Refractive index 8

9 Blending typical topcoat polymers gives only average properties Fluorinated copolymer surface energy control x F 3 C CF 3 H R f Surface activity High contact angles Acidic group for dissolution y + Sulfonic acid copolymer profile control x F 3 C CF 3 H HN S y H Profile control Khojasteh et al. Proc. SPIE, Homogeneous RCA = 63.2 Δ = -2.5 Thin surface layer Segregation to both interfaces Δ is the difference between observed RCA and average RCA of components 9

10 Materials design produces graded topcoats Fluorinated copolymer surface energy control Sulfonic acid terpolymer profile control x F 3 C CF 3 H R f Surface activity High contact angles Acidic group for dissolution y + RCA = 69.4 RCA = 42.1 R acidic x Dissolution R S H Profile control y R polarity z T g /polarity Homogeneous Thin surface layer Segregation to both interfaces RCA = 67.1 Δ = Film distribution: SIMS, XPS, ellipsometry, QCM, contact angles, contrast curves 10

11 Contrast curves suggest segregated film structure 1200 Top polymer only 1000 Thickness (nm) Topcoat: None (1692J) Top polymer only Bottom polymer only Blended polymers Bottom polymer only Blended polymers Dose (mj/cm 2 ) Control TCX-014 (30 nm) Graded topcoat Bottom polymer only 11

12 Re-engineering of acidic bottom polymer resolves issues Reference TCX-014 (30 nm) Fluorine-free bottom material Alternative sulfonic acid group 4.77 mj 5.76 mj 5.27 mj RCA: 55.6 Commercial topcoat RCA: 59.2 RCA: 61.4 Graded topcoat 12 : JSR AR1682J, 45 nm hp, 193 nm water immersion interference lithography

13 Graded topcoat summary Possible to achieve high receding contact angles Film structure measured by XPS, SIMS, etc. Thin surface wetting layers and homogeneous interior Graded topcoat Haven t yet exceeded performance of commercial topcoats Challenge to ensure optimal resist interaction Fluorine-free bottom polymers may allow cost reduction Possible anti-reflection benefits. Range Best RCA Sample Top polymer Bottom polymer (AR1682J) RI TCX-014 TCX-041 Conventional topcoats Additive-based topcoat-free resists are superior route to break trade-offs Can use acid-labile protecting groups 13

14 Topcoat-free resists for high index immersion Current base-soluble topcoat technology Topcoat Wafer Topcoat-free resists Graded topcoat materials Surfaces for high index immersion 14

15 Lower contact angles of high index fluids JSR AR1682J Receding contact angle Water JSR HIL-001 Bicyclohexyl 1682J Daikin RP Asahi FGC-400 JSR TCX-014 TK TSP-3A Initially reported at 2006 IEEE Lithography workshop and 3 rd International Symposium on Immersion Lithography Water JSR HIL-001 JSR TCX-014 TK TSP-3A JSR AR1682J JSR TCX-014 TK TSP-3A

16 rganic immersion fluids film pull at low velocities Collaboration with: P. Harder, S. Schuetter, T. Shedd (University of Wisconsin) Film Pulling Velocity [mm/s] TK TSP-3A JSR TCX υ crit υ Water Decane trans-decalin Cyclooctane Bicyclohexyl ( m m υ + ) = υ fp γ μ in 3 fp θ s,r Film pulling velocity (on TSP-3A) 850 mm/s 150 mm/s 120 mm/s 130 mm/s 100 mm/s 1/ m Static Receding Contact Angle 16 REFLECTIN FILM PULL STREAKS WAFER Sanders et al. 3 rd Int. Symp. Immersion Lithography (2006).

17 Fluid handling options for high index immersion (NA 1.55+) Local delivery wafer dry Allowed film pulling partially wet Submersed/Pool wafer wet Tool Conventional showerhead Conventional showerhead New delivery method Process Conventional New fluid removal process New fluid removal process Materials Topcoats w/ RCAs > 120 Inert resist or topcoat Inert resist or topcoat Contact angle targets unknown Higher is presumed better 17

18 PAG extraction and stain morphology different than water Normalized PAG Extraction Low PAG extraction into high index fluids Fluid Water JSR HIL J 100 ND 1682J + TCX ND 1682J + TSP-3A ND ND AR1682J AR1682J + TCX-014 AR1682J + TSP-3A Water Surface controls Stain morphology JSR HIL Sanders et al IEEE Lithography Workshop

19 Improved additives for high index immersion Application Water High index Additive None Additive F Additive G JSR TCX-014 Additive H Additive I TK TSP-3A Receding contact angle (HIL-001) AR1682J w/ Additive-only topcoat or additive - <2 Dissolves < (smaller drop volume) JSR AR1682J w/ Additive G JSR TCX-014 w/ Additive H w/ Additive I TK TSP-3A 19

20 Additives compatible with high index immersion achieved 193 nm interference immersion lithography (45 nm hp) : JSR AR1682J (80 nm) on ARC29a (780 Å) Immersion Fluid: JSR HIL-001 Materials designed for water Materials designed for high index TCX-014 (25 nm) Additive F Additive H Additive I mj mj mj mj RCA: 24.0 RCA: <2 RCA: 42.1 RCA:

21 Additives optimized for fluid interaction not PAG leaching High index immersion (JSR HIL-001) TCX-014 (25 nm) Additive H Water immersion TCX-014 (25 nm) Additive H Sample Water Cyclooctane Bicyclohexyl AR1682J (absolute) 28.5 ppb 1.25 ppb 0.62 ppb AR1682J (normalized) AR1682J w/ TCX-014 AR1682J w/additive H 100% 5.8% 156% 100% ND ND 100% ND ND 21

22 New materials for immersion lithography Conventional Topcoats Graded Topcoat Topcoat-free (water) Topcoat-free (high index) Wafer RCA (water) TCX-014: 55.6 TCX-041: (HIL-001) Graded topcoats show some potential for improved performance/reduced cost Additive-based topcoat-free resists are superior way to break trade-offs Can use acid-labile protecting groups Additive structure strongly influences surface segregation & performance Designed additives specifically for high index immersion Moderate RCAs with high index fluids Tailor additives differently than for water-based immersion Can tune RI with more freedom than with a topcoat 22

23 Acknowledgements IBM Dolores Miller (IBM-ARC) for XPS Vaughn Deline (IBM-ARC) for SIMS Dario Goldfarb (IBM-YKT) for ellipsometry Peggy Lawson and Rex Chen (IBM-EFK) for 1150i imaging University of Wisconsin Paul Harder, Amy Stoikes, Scott Schuetter, and Tim Shedd JSR and JSR Micro. Photoresists, TCX-014 topcoat, and HIL-001 Mark Slezak Central Glass Selected monomers and polymers TK, Daikin, Asahi Glass 23

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Metal Oxide EUV Photoresists for N7 Relevant Patterns Metal Oxide EUV Photoresists for N7 Relevant Patterns Stephen T. Meyers, Andrew Grenville 2016 International Workshop on EUV Lithography Resists Designed for EUV Lithography Integration Stochastic Variability

More information

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Jan Mulkens, Bob Streefkerk, Hans Jasper, Jos de Klerk, Fred de Jong, Leon Levasier and Martijn Leenders.

More information

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Joyce Lowes a, Victor Pham b, Jim Meador a, Charlyn Stroud a, Ferdinand Rosas b, Ramil-Marcelo L. Mercado a, Mark

More information

Hybrid BARC approaches for FEOL and BEOL integration

Hybrid BARC approaches for FEOL and BEOL integration Hybrid BARC approaches for FEOL and BEOL integration Willie Perez a, Stephen Turner a, Nick Brakensiek a, Lynne Mills b, Larry Wilson b, Paul Popa b a Brewer Science, Inc., 241 Brewer Dr., Rolla, MO 6541

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithography

Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithography Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithography Alex K. Raub, a) A. Frauenglass, and S. R. J. Brueck Center for High Technology Materials, University of

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

INTERVIA BPP-10 Photoresist

INTERVIA BPP-10 Photoresist Technical Data Sheet INTERVIA BPP-10 Photoresist For Advanced Packaging Applications Description Regional Product Availability Advantages INTERVIA BPP-10 Photoresist is a general-purpose, multi-wavelength

More information

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography Ramil-Marcelo L. Mercado, Joyce A. Lowes, Carlton A. Washburn, Douglas J. Guerrero Brewer Science, Inc., 2401 Brewer

More information

Solvent pre-wetting as an effective start-up method for point-of-use filter

Solvent pre-wetting as an effective start-up method for point-of-use filter Solvent pre-wetting as an effective start-up method for point-of-use filter Toru Umeda* a, Shinichi Sugiyama, Takashi Nakamura, Makoto Momota b, Michael Sevegney c, Shuichi Tsuzuki, Toru Numaguchi a a

More information

ABSTRACT: INTRODUCTION:

ABSTRACT: INTRODUCTION: ABSTRACT: Nano-Composite Polymer Optical Coatings Tom Faris Vampire Optical Coatings, Inc. P.O. Box 240 Kirkersville, Ohio 43033 (740)-927-5257 f(740)-927-5032 vampirecoatings@earthlink.net Traditionally

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

Challenges for Commercially viable Transparent Conductive Oxide Layers

Challenges for Commercially viable Transparent Conductive Oxide Layers Challenges for Commercially viable Transparent Conductive Oxide Layers Arkema Inc. 900 First Ave., King of Prussia, PA 19406 R. Y. Korotkov, L. Fang, P. Ricou, M. Bluhm, J. Coffey, C. Polsz and G. Silverman

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

MCC. NANO PMMA and Copolymer

MCC. NANO PMMA and Copolymer MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub 0.1µm imaging for many imaging and non-imaging microelectronic

More information

Lithography Tool Package

Lithography Tool Package 4. Development Thomas Anhøj and Tine Greibe Outline 1. Introduction Process steps in UV lithography 2. Spin coating Resist composition Pre-treatment Principle Softbake Spin curve 3. Exposure Hardware Process

More information

D Eggenstein-Leopoldshafen, Germany 2 University of Karlsruhe (TH), Institute for Microstructure Technology (IMT),

D Eggenstein-Leopoldshafen, Germany 2 University of Karlsruhe (TH), Institute for Microstructure Technology (IMT), Sub-µ structured Lotus Surfaces Manufacturing M. Worgull 1, M. Heckele 1, T. Mappes 2, B. Matthis 1, G. Tosello 3, T. Metz 4, J. Gavillet 5, P. Koltay 4, H. N. Hansen 3 1 Forschungszentrum Karlsruhe (FZK),

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Self Assembled Heterojunction Solar Cell Active Layers: CFN/USB/CAT Collaboation

Self Assembled Heterojunction Solar Cell Active Layers: CFN/USB/CAT Collaboation Self Assembled Heterojunction Solar Cell Active Layers: CFN/USB/CAT Collaboation Supported in part by the SensorCat program at NYSTAR,NSF-MRSEC Jennifer A. Segui PhD student in Biomedical Engineering.

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Introduction to Materials & Applications

Introduction to Materials & Applications Introduction to Materials & Applications 1 PDM-5001Aprima IS700 Adhesive Paste Designed for use as a dispensable coating that serves as a hot-melt adhesive After cure, it produces no residue at 330 C,

More information

Soft-lithography for Preparing Patterned Liquid Crystal Orientations

Soft-lithography for Preparing Patterned Liquid Crystal Orientations 2007 KIDS Soft-lithography for Preparing Patterned Liquid Crystal Orientations Hak-Rin Kim **a, Jong-Wook Jung **a, Min-Soo Shin **a, Myung-Eun Kim a, You-Jin Lee **a, and Jae-Hoon Kim *b Abstract We demonstrate

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

Shear Bands in Glassy Amorphous Polymers

Shear Bands in Glassy Amorphous Polymers Shear Bands in Glassy Amorphous Polymers Shear banding in tension or compression. Neck formation via shear bands (a) (b) (c) (d) Stress Image removed due to copyright restrictions. Please see Fig. 12a

More information

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip SzePei Lim (Presenter), Jason Chou, Maria Durham, and Dr. Andy Mackie Indium Corporation 1 Outline of Presentation Roadmaps and challenges

More information

ZERO DEFECTS Entegris Newsletter

ZERO DEFECTS Entegris Newsletter July 215 CONTENTS 1. Entegris News Entegris Reaches Milestones at its i2m Center for Advanced Materials Science Entegris @ SEMICON West 2. Process Stability InVue CR288 Concentration Monitor Application

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

White Paper: Pixelligent Internal Light Extraction Layer for OLED Lighting

White Paper: Pixelligent Internal Light Extraction Layer for OLED Lighting White Paper: Pixelligent Internal Light Zhiyun (Gene) Chen, Ph.D., Vice President of Engineering Jian Wang, Ph.D., Manager, Application Engineering Pixelligent Technologies LLC, 6411 Beckley Street, Baltimore,

More information

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation

Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Mat. Res. Soc. Symp. Proc. Vol. 748 2003 Materials Research Society U11.8.1 Ferroelectric Oxide Single-Crystalline Layers by Wafer Bonding and Hydrogen/Helium Implantation Ionut Radu, Izabela Szafraniak,

More information

Laser printing and curing/sintering of silver paste lines for solar cell metallization

Laser printing and curing/sintering of silver paste lines for solar cell metallization Lasers in Manufacturing Conference 2015 Laser printing and curing/sintering of silver paste lines for solar cell metallization D. Munoz-Martin a *, Y. Chen a, A. Márquez a, M. Morales a, C. Molpeceres

More information

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers) EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 1500 Series Positive Tone Photoresists APPLICATION General purpose positive tone photoresists featuring excellent substrate adhesion for demanding wet etch

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates Jim Sullivan, Harry R. Kirk, Sien Kang, Philip J. Ong, and Francois J. Henley Silicon

More information

Performance Attributes of Organic Corrosion Inhibitors

Performance Attributes of Organic Corrosion Inhibitors Performance Attributes of Organic Corrosion Inhibitors Additives 2012 Conference September 12-13, 2012 Sheraton Inner Harbor Baltimore, MD Nathan Kofira Technical Development Manager Overview 1 2 3 Requirements

More information

Sustainable UV-curable low refractive index resins with novel polymers for polymer cladding materials

Sustainable UV-curable low refractive index resins with novel polymers for polymer cladding materials Sustainable UV-curable low refractive index resins with novel polymers for polymer cladding materials Hiroki Tokoro*, Takako Ishikawa, Nobuyuki Koike, Yohzoh Yamashina DIC Corporation, 12 Yawatakaigan-dori,

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation Kevin Yang, Habib Hichri, Ralph Zoberbier SÜSS MicroTec Photonic Systems Inc. June 18, 2015 MARKET DRIVER Mobile

More information

Seminar Micro- and Nano-technology

Seminar Micro- and Nano-technology Seminar Micro- and Nano-technology Seminar 1 Schedule Wednesday 17:45-18:30 Yannick Bourgin yannick.bourgin@uni-jena.de Phone: +49(3641)947990 www.iap.uni-jena.de Presentations 2 Presentation by 2 students

More information

Material-independent Fabrication of Superhydrophobic Surfaces by Musselinspired

Material-independent Fabrication of Superhydrophobic Surfaces by Musselinspired Electronic Supplementary Material (ESI) for RSC Advances. This journal is The Royal Society of Chemistry 2014 Material-independent Fabrication of Superhydrophobic Surfaces by Musselinspired Polydopamine

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1

An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1 Materials Transactions, Vol. 44, No. 3 (2003) pp. 389 to 395 #2003 The Japan Institute of Metals An XPS and Atomic Force Microscopy Study of the Micro-Wetting Behavior of Water on Pure Chromium* 1 Rongguang

More information

The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole

The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole University of Groningen The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole IMPORTANT NOTE: You are advised to consult the publisher's version (publisher's PDF) if you

More information

Dow Pharma Solutions. ETHOCEL High Productivity (HP) Polymers Safe. Fast. Efficient.

Dow Pharma Solutions. ETHOCEL High Productivity (HP) Polymers Safe. Fast. Efficient. Dow Pharma Solutions ETHOCEL High Productivity (HP) Polymers Safe. Fast. Efficient. Improving Productivity Through Innovation ETHOCEL Polymers is the oldest trademarked brand available from The Dow Chemical

More information

Micro & nanofabrica,on

Micro & nanofabrica,on Micro & nanofabrica,on Photolitography : - contact - projec,on Electron Beam lithography (EBL) Nano imprint lithography Etching Contact Photolithography Substrate (e.g. Silicon wafer) Photoresist spinning

More information

Resist Characterization for EUV- Lithography

Resist Characterization for EUV- Lithography Resist Characterization for EUV- Lithography 2. Int. EUVL-Symposium, Antwerp, B Wolf-Dieter Domke 1, Stefan Hirscher 2, liver Kirch 3, Karl Kragler 1, Klaus Lowack 1, 1 Infineon Technologies AG, 91050

More information

Evaluation of length scale effects for micro and nano-sized cantilevered structures

Evaluation of length scale effects for micro and nano-sized cantilevered structures University of Wollongong Research Online University of Wollongong Thesis Collection 1954-2016 University of Wollongong Thesis Collections 2010 Evaluation of length scale effects for micro and nano-sized

More information

Laser damage threshold of AR coatings on phosphate glass

Laser damage threshold of AR coatings on phosphate glass Laser damage threshold of AR coatings on phosphate glass Optical Coatings for Laser Applications Wednesday, 12 th April 2017, Buchs SG, Switzerland dirk.apitz@schott.com, SCHOTT Suisse SA, Advanced Optics,

More information

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2 Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 6 Through-wafer Interconnect EEL6935 Advanced MEMS 2005 H. Xie 1/21/2005 1 Motivations: Wafer-level packaging CMOS 3D Integration

More information

Organic Solar Cells. Green River Project

Organic Solar Cells. Green River Project Organic Solar Cells Green River Project Silicon Cells Silicon semiconductors Advantages: Efficiencies Lifetimes Disadvantages: High manufacturing costs Inflexible http://en.wikipedia.org Organic semiconductors

More information

Microencapsulation Studies for Mass Production of IFE Targets

Microencapsulation Studies for Mass Production of IFE Targets Microencapsulation Studies for Mass Production of IFE Targets B. Vermillion, G. Besenbruch, L. Brown, D. Goodin, B. McQuillan, M. Takagi, T.Woo Second US/Japan Workshop on Target Fabrication, Injection,

More information

>10 11 ohm-cm. ε T 11/ε0=6.7, ε T 33/ε0=8.1; Tan δ<0.001

>10 11 ohm-cm. ε T 11/ε0=6.7, ε T 33/ε0=8.1; Tan δ<0.001 Beta-Barium Borate Crystal BBO Beta-Barium Borate (β-bab2o4 or BBO) is one of the most excellent NLO crystals. Using a newly improved flux method, AOTK now produces highquality BBO crystal with high optical

More information

Thin Films & AR Coated. Viewports. Thin Film & AR Coated. Viewports

Thin Films & AR Coated. Viewports. Thin Film & AR Coated. Viewports Thin Films & AR Item Page Thin Film Coatings Introduction P 02 Kodial Zero Length with Anti-Reflective Coatings P 04 Kodial Zero Length with Anti-Reflective Coatings-Non-Magnetic P 07 Kodial Zero Length

More information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing ME 189 Microsystems Design and Manufacture Chapter 9 Micromanufacturing This chapter will offer an overview of the application of the various fabrication techniques described in Chapter 8 in the manufacturing

More information

2. High Efficiency Crystalline Si Solar Cells

2. High Efficiency Crystalline Si Solar Cells 2 High Efficiency Crystalline Si Solar Cells Students: Karthick Murukesan, Sandeep S S, Meenakshi Bhaisare, Bandana Singha, Kalaivani S and Ketan Warikoo Faculty members: Anil Kottantharayil, B M Arora,

More information

AZ P4620 Photoresist Data Package

AZ P4620 Photoresist Data Package AZ P4620 Photoresist Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations or warranties, either express or implied, whether of merchantable

More information

Fabrication Technologies and Instruments. The available fabrication technologies and instruments for fabricating the sub-wavelength

Fabrication Technologies and Instruments. The available fabrication technologies and instruments for fabricating the sub-wavelength Chapter 3 Fabrication Technologies and Instruments 3.1 Introduction The available fabrication technologies and instruments for fabricating the sub-wavelength grating will be described in this chapter.

More information

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application ABSTRACT D. A. P. Bulla and N. I. Morimoto Laboratório de Sistemas Integráveis da EPUSP São Paulo - S.P. -

More information

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 27th IEEE International Conference on Plasma Science New Orleans, Louisiana June 4-7, 2000 SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 Da Zhang* and Mark J. Kushner** *Department

More information

NanoSystemsEngineering: NanoNose Final Status, March 2011

NanoSystemsEngineering: NanoNose Final Status, March 2011 1 NanoSystemsEngineering: NanoNose Final Status, March 2011 The Nanonose project is based on four research projects (VCSELs, 3D nanolithography, coatings and system integration). Below, the major achievements

More information

New Evaluation Methods for Pressure Sensitive Adhesive (PSA) Tapes Used in the Semiconductor Industry

New Evaluation Methods for Pressure Sensitive Adhesive (PSA) Tapes Used in the Semiconductor Industry New Evaluation Methods for Pressure Sensitive Adhesive (PSA) Tapes Used in the Semiconductor Industry by Tomoyuki Aogaki *, Hidefumi Miyagi * and Yoshihisa Kano * We propose new evaluation methods for

More information

Filtration on block copolymer solution used in directed self assembly lithography

Filtration on block copolymer solution used in directed self assembly lithography Filtration on block copolymer solution used in directed self assembly lithography Toru Umeda*, Tomoyuki Takakura and Shuichi Tsuzuki Nihon Pall Ltd., 46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki,

More information

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers Yoshihisa Ohishi 1, Kohei Noguchi 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kazuo Tsutsui 2, Nobuyuki Sugii

More information

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY 16 TH INTERNATIONAL CONFERENCE ON COMPOSITE MATERIALS FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY Tsung-Han Yu, Shenq-Yih Luo,

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Stability of Surface Films Formed on Mg by Exposure Aqueous Solutions

Stability of Surface Films Formed on Mg by Exposure Aqueous Solutions Stability of Surface Films Formed on Mg by Exposure Aqueous Solutions By: Mehdi Taheri Supervisor: Dr. Joseph Kish Walter W. Smeltzer Corrosion Lab McMaster University 1 2 nd MSE 702 Graduate Seminar Sept

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch Chapter 1.6 I - Substrate Specifications Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table Diameter 100 mm 4-inch 150 mm 6-inch Thickness 525 µm 20.5 mils 675

More information

Available online at ScienceDirect. Energy Procedia 55 (2014 )

Available online at  ScienceDirect. Energy Procedia 55 (2014 ) Available online at www.sciencedirect.com ScienceDirect Energy Procedia 55 (2014 ) 702 707 4th International Conference on Silicon Photovoltaics, SiliconPV 2014 Observation of the contact formation of

More information

Via Fill in Small Trenches using Hot Aluminum Process. By Alice Wong

Via Fill in Small Trenches using Hot Aluminum Process. By Alice Wong Via Fill in Small Trenches using Hot Aluminum Process By Alice Wong Goals for Project Good Via Fill in Small contact holes using hot aluminum process Be able to get good images of the contact holes using

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

Available online at ScienceDirect. Materials Today: Proceedings 2 (2015 )

Available online at  ScienceDirect. Materials Today: Proceedings 2 (2015 ) Available online at www.sciencedirect.com ScienceDirect Materials Today: Proceedings 2 (2015 ) 5582 5586 International Conference on Solid State Physics 2013 (ICSSP 13) Thickness dependent optimization

More information

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology R.K. Trichur, M. Fowler, J.W. McCutcheon, and M. Daily Brewer Science, Inc. 2401 Brewer Drive Rolla, MO

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

2007 PLACE Conference September 16-20 St Louis, MO Nano-Composite Polymer Optical Coatings Vampire Optical Coatings, Inc. Tom Faris vcoat@copper.net Introduction What is a nano-composite polymer coating?

More information

Effects of Explosive Crystal Internal Defects on Projectile Impact Initiation. L. Borne and A. Beaucamp

Effects of Explosive Crystal Internal Defects on Projectile Impact Initiation. L. Borne and A. Beaucamp Effects of Explosive Crystal Internal Defects on Projectile Impact Initiation L. Borne and A. Beaucamp French-German Research Institute of Saint-Louis (ISL) P.O. Box 34 F 68301 Saint-Louis Cedex Summary

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Fabrication of the Crystalline ITO Pattern by Picosecond Laser with a Diffractive Optical Element

Fabrication of the Crystalline ITO Pattern by Picosecond Laser with a Diffractive Optical Element Fabrication of the Crystalline ITO Pattern by Picosecond Laser with a Diffractive Optical Element C.W. Chien and C.W. Cheng* ITRI South Campus, Industrial Technology Research Institute, No. 8, Gongyan

More information

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance Scott D. Szymanski March Plasma Systems Concord, California, U.S.A. sszymanski@marchplasma.com

More information

Novel Polyphenol Base Molecular Resist Having High Thermal Resistance

Novel Polyphenol Base Molecular Resist Having High Thermal Resistance Novel olyphenol Base Molecular Resist Having High Thermal Resistance Taku Hirayama, Takeyoshi Mimura, Jun Iwashita, Makiko Irie, Daiju hiono, Hideo Hada and Takeshi Iwai TKY KA KGY C., LTD. 2008 International

More information

ZnO-based Transparent Conductive Oxide Thin Films

ZnO-based Transparent Conductive Oxide Thin Films IEEE EDS Mini-colloquium WIMNACT 32 ZnO-based Transparent Conductive Oxide Thin Films Weijie SONG Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo, P. R. China

More information

Introduction. 1 Method for making work rolls for cold rolling and characteristics required for rolls

Introduction. 1 Method for making work rolls for cold rolling and characteristics required for rolls Because cold rolling requires work rolls of high quality in their surfaces and interiors, the rolls are generally made from electro-slag-remelting (ESR) ingots which ensure a stable outcome. In order to

More information

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu Multiphoton lithography based 3D micro/nano printing Dr Qin Hu EPSRC Centre for Innovative Manufacturing in Additive Manufacturing University of Nottingham Multiphoton lithography Also known as direct

More information

Nano-imprinting Lithography Technology І

Nano-imprinting Lithography Technology І Nano-imprinting Lithography Technology І Agenda Limitation of photolithograph - Remind of photolithography technology - What is diffraction - Diffraction limit Concept of nano-imprinting lithography Basic

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

Preparation and characterization of nanostructured thermoelectric materials

Preparation and characterization of nanostructured thermoelectric materials QuickTime et un décompresseur TIFF (non compressé) sont requis pour visionner cette image. Preparation and characterization of nanostructured thermoelectric materials Laboratoire de Physique des Matériaux,

More information