How to check complex PCBs for SI/PI/EMC Issues

Size: px
Start display at page:

Download "How to check complex PCBs for SI/PI/EMC Issues"

Transcription

1 1 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

2 Outline Outline Motivation & History EDA Import Rule Setup & EMC/SI/PI Rules Results Review & Documentation Additional Checks Summary & Outlook 2 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

3 Motivation ETAS uses complex PCB technology 1 FPGA / 1 CPLD / 3 Memories / 1 Ethernet Phy 6 power supplies / 12 supply voltages 5 connectors / 1000BaseT / Aurora 60mmx45mm, 14 Layers, complex via structure 3 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

4 History ETAS switched from manual to automated PCB layout checks in : Bruce Archambeault mentions IBM EMSAT 2009 : ETAS evaluates SimLab EMBoardcheck (based on EMSAT) 2009 : ETAS acquires license to SimLab EMBoardCheck 2009 : SimLab is acquired by CST 2011 : First integration of EMBoardcheck/EMSAT into CST PCB Studio 2013 : EMBoardcheck/EMSAT is fully integrated into CST Studio Suite 4 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

5 EDA Import (1/5) ODB++ is recommended as EDA import format Supported Formats: ODB++ Zuken CR-5000/8000 Mentor Graphics HyperLynx Mentor Graphics PADS Mentor Graphics Expedition Cadence Allegro/ADP/SIP SimLab PCBMod CST Layout Database 5 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

6 EDA Import (2/5) Always check & correct PCB stackup data Check & Export PCB stackup data after first PCB import Import PCB stackup data on subsequent PCB imports 6 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

7 EDA Import (3/5) Always check & correct component data Correct any R, L, C shown as Undefined Vendor Device Add simulation models for active & passive components (optional) 7 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

8 EDA Import (4/5) Net naming convention simplifies auto-tagging Need to tag Clocks, Differential, Power & Ground nets 8 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

9 Rule Setup (1/3) Choose Rule Setup strategy depending on design & preference One rule set small designs similar technologies single run less effort pessimistic result (more effort) Multiple rule sets large design different technologies multiple runs more effort realistic results 10 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

10 Rule Setup (2/3) Component & Net naming conventions simplify auto-tagging IC Standard/Fast-Switching/NA Capacitor Type Bypass/Stitching Passive Type Resistor/ResistorPack/Filter/Jumper I/O Connector Class 1L/1H/2/3 Clock Driver Yes/No Oscillator Yes/No Critical Net Yes/No 11 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

11 Rule Setup (3/3) Adapt Rule Parameters to Design 12 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

12 EMC Rules (1/2) EMC Rules Net Crossing Split Exposed Critical Trace Length Net Changing Reference Net Near Edge of Reference 13 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

13 EMC Rules (2/2) EMC Rules Critical Net near I/O Net Critical Differential Net Matching Critical Net Isolation Critical Differential Net Isolation I/O Filter Distance 14 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

14 Signal Integrity Rules (1/2) Signal Integrity Rules Net Length Net Stub Net to Net Coupling Differential Running Skew Between Reference Plane Routing 15 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

15 Signal Integrity Rules (2/2) Signal Integrity Rules Via to Net Coupling Unconnected Via Pads Via Stub Via Clearance Overlap 16 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

16 Power Integrity Rules (1/2) Power Integrity Rules Power Pin Capacitor Distance Power/Ground Trace Decoupling IC Power/Ground Pin-Via Distance Decoupling Capacitor Via Distance 17 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

17 Power Integrity Rules (2/2) Power Integrity Rules Wide Power/Ground Traces Decoupling Capacitor Density Power Via Density 18 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

18 Results Review & Documentation (1/2) 2 Large Displays recommended to view results sorting and/or filtering of results possible use cursor keys to step through results use fit violation & fit factor to view violations Export to Excel for documentation 19 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

19 Results Review & Documentation (2/2) Use color codes to characterize violations Fail suggest schematics/layout changes to fix violation Inconclusive use (additional) simulations to make sure the design is OK Pass check whether rule set can be improved Source: WikiMedia 20 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

20 Manual checks Automated Rule Checks are augmented by manual checks Trace Impedances Power Supplies I/O Connectors Power & I/O Filters Analog Circuits Connections to Housing/Shielding Creepage/Clearance (Safety) 21 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

21 Summary & Outlook Automated Rule checks are essential for in-depth PCB reviews enable increased level of detail and/or reduced time/effort for PCB reviews CST Studio integration facilitates subsequent SI/PI/EMC simulation Reduced risk of failing SI/PI/EMC compliance tests Move from PCB-level checks to system-level checks Extension to multiple PCBs Extension to Housing, Cables, 22 Public ETAS/EHS2-Grävinghoff ETAS GmbH All rights reserved, also regarding any disposal, exploitation,

2010 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary

2010 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary SIwave 5.0 PI Advisor for Optimized DDR3 Memory Design Presented by Sergey Polstyanko, Ph.D Senior R&D Manager 2010 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary Agenda Design Overview and

More information

High Speed Serial Link (HSSL) Channel Modeling. CST workshop series 2010 February 10 1

High Speed Serial Link (HSSL) Channel Modeling.  CST workshop series 2010 February 10 1 High Speed Serial Link (HSSL) Channel Modeling www.cst.com CST workshop series 2010 February 10 1 Outline Introduction HSSL design workflow Creating a HSSL model Simulating a HSSL HSSL modeling example

More information

Discontinuity Regions in Package

Discontinuity Regions in Package Discontinuity Regions in Package Channel: bonding wire PKG trace via solder ball PCB trace PKG traces & PCB traces: uniform transmission lines Bonding wires, vias & solder balls: discontinuity regions

More information

If you want then let us introduce you to CADSTAR. The most powerful price/performance solution for PCB design

If you want then let us introduce you to CADSTAR.   The most powerful price/performance solution for PCB design Powerful PCB Design If you want... The most powerful price/performance solution for PCB design Shorter time-to-market for product designs A powerful on-line component library Automatic 3D back annotation

More information

Using Modules in Allegro PCB Editor Design Reuse for Performance 1

Using Modules in Allegro PCB Editor Design Reuse for Performance 1 Using Modules in Allegro PCB Editor Design Reuse for Performance 1 Using Modules in Allegro PCB Editor Design Reuse for Performance Presented at CDNLive! Silicon Valley Session 5.8 September 13, 2006 George

More information

PCB Layout. Overview MAJOR BENEFITS:

PCB Layout. Overview MAJOR BENEFITS: PCB Layout D A T A S H E E T MAJOR BENEFITS: The integrated, planning, place and route editing environment reduces total design time and increases productivity Addresses your greatest PCB design challenges,

More information

Key features in Xpedition Package Integrator include:

Key features in Xpedition Package Integrator include: Introduction Xpedition Package Integrator provides a co-design methodology that automates planning, optimization of connectivity from a chip through multiple packaging variables, while targeting multiple

More information

Xpedition Package Integrator

Xpedition Package Integrator Xpedition Package Integrator Xpedition D A T A S H E E T FEATURES AND BENEFITS: Figure 1: The Xpedition Package Integrator Flow comprises all the tools necessary for efficient package/pcb codesign, for

More information

SIwave for Power Integrity Analysis. Workshop 2_2: PI Advisor

SIwave for Power Integrity Analysis. Workshop 2_2: PI Advisor SIwave for Power Integrity Analysis Workshop 2_2: PI Advisor 1 2016 ANSYS, Inc. April 5, 2017 Opening or Importing a Project Starting SIwave To launch SIwave, click the Microsoft Start Button > ALL Programs

More information

PADS Layout. start smarter. For PADS Standard and PADS Standard Plus OVERVIEW MAJOR BENEFITS:

PADS Layout. start smarter. For PADS Standard and PADS Standard Plus OVERVIEW MAJOR BENEFITS: start smarter D A T A S H E E T PADS Layout For PADS Standard and PADS Standard Plus MAJOR BENEFITS: Easy to learn and use Powerful PCB design technology Proven capabilities Tackles complex design problems

More information

Mechatronic Component Search for Electronic and Fluid Design

Mechatronic Component Search for Electronic and Fluid Design Mechatronic Component Search for Electronic and Fluid Design 15th CADENAS Industry-Forum 2014 Joachim Frank Topics Overview Zuken / E3.series How does the CADENAS Electronic Product Catalog help in the

More information

Signal & Power Integrity Analysis Services for Test boards

Signal & Power Integrity Analysis Services for Test boards Caliber Interconnect Solutions Design for perfection Signal & Power Integrity Analysis Services for Test boards Caliber Interconnect Solutions (Pvt) Ltd No 6,1 st Street Gandhi Nagar, Kavundampalayam,

More information

NI AWR Design Environment V14

NI AWR Design Environment V14 Product Review NI AWR Design Environment V14 Accelerating RF/Microwave Design From Concept to Product Advancing communication and sensor technologies, driven by applications such as 5G, internet of things

More information

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Scott / Graser 16 / Oct / 2015 Agenda Introduction -- Cadence Power Signoff Solution Transistor-Level EMIR Challenges and

More information

Nine Dot Connects. DFM Stackup Considerations Part 4 Webinar August The following questions were asked during the webinar.

Nine Dot Connects. DFM Stackup Considerations Part 4 Webinar August The following questions were asked during the webinar. DFM Stackup Considerations Part 4 Webinar August 2015 The following questions were asked during the webinar. Question / Comment Aren't the inner signal layer traces classified as embedded micro-strips

More information

Thermo-Mechanical Reliability and the Latest Prediction Tools

Thermo-Mechanical Reliability and the Latest Prediction Tools Thermo-Mechanical Reliability and the Latest Prediction Tools Presented by: Dane Kim, Applications Engineer Oasis Sales, Inc. And Nathan Blattau, PhD DfR Solutions April 24, 2014 9000 Virginia Manor Rd

More information

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS P A D S W H I T E P A P E R w w w. m e n t o r. c o m / p a d s INTRODUCTION Printed Circuit Board design is a

More information

The Universal PCB Design Grid System

The Universal PCB Design Grid System The Universal PCB Design Grid System Tom Hausherr, Valor Computerized Systems Abstract: Mixing PCB Design Layout units will compromise perfection every time. PCB Design perfection starts with building

More information

Design without compromise - PADS Professional

Design without compromise - PADS Professional Design without compromise - PADS Professional Olivier Arnaud Application Engineer Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 2 Copyright CADCAM Group 2015 Three

More information

Valor NPI for Users. Student Workbook

Valor NPI for Users. Student Workbook Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

E³.series Electrical Wiring, Control Systems and Fluid Engineering Software

E³.series Electrical Wiring, Control Systems and Fluid Engineering Software P R O D U C T O V E R V I E W Z u k e n T h e P a r t n e r f o r S u c c e s s E³.series Electrical Wiring, Control Systems and Fluid Engineering Software z u k e n. c o m / E 3 s e r i e s Z u k e n

More information

Design of High Density & 3D Packaging: Tools and Knowledge. Thomas S. Tarter Package Science Services LLC

Design of High Density & 3D Packaging: Tools and Knowledge. Thomas S. Tarter Package Science Services LLC Design of High Density & 3D Packaging: Tools and Knowledge Thomas S. Tarter Package Science Services LLC IEEE/CPMT Technical Luncheon Package Science Services 1 Outline Package Design Flow (the old way)

More information

SETTING UP OF CHARACTERISATION LAB

SETTING UP OF CHARACTERISATION LAB SETTING UP OF CHARACTERISATION LAB Presentation by Contents 1. Objective 2. About Characterization Lab 3. About VLSI EDA Tools 4. List of equipment Characterization Lab 5. List of EDA Tools 6. Estimated

More information

Schematic Integrity Analysis

Schematic Integrity Analysis Schematic Integrity Analysis D A T A S H E E T Overview Xpedition schematic integrity analysis enables full inspection of all nets on a schematic using pre-defined checks and an extensive intelligent model

More information

CUBE CIRCUITS PVT LTD. Corporate Profile

CUBE CIRCUITS PVT LTD. Corporate Profile 1 CUBE CIRCUITS PVT LTD Corporate Profile 2 About us Cube Circuits provides Turnkey Design Services to ATE Load Boards, Probe cards, PIB, DIB, MEMS Boards and Burn in Boards. We have Worked in all major

More information

VLSI Power Delivery For Core, I/O, and Analog Supplies. Major Electrical Interfaces

VLSI Power Delivery For Core, I/O, and Analog Supplies. Major Electrical Interfaces VLSI Power Delivery For Core, I/O, and Analog Supplies Claude R. Gauthier, Ph.D., Brian W. Amick Sun Microsystems Inc., Major Electrical Interfaces Core Power Delivery Physical and electrical view Parasitic

More information

AltiumLive 2017: Conquering Multi-Board Design Challenges to Create Next-Generation Electronics

AltiumLive 2017: Conquering Multi-Board Design Challenges to Create Next-Generation Electronics AltiumLive 2017: Conquering Multi-Board Design Challenges to Create Next-Generation Electronics Rainer Asfalg Global Head of Technical Sales & Support Carsten Kindler Field Applications Engineer Presenter

More information

Mechatronics Process Management

Mechatronics Process Management Mechatronics Process Management 29. Juni 2010 Lionel Voillat Mechatronics Process Management Page 2 Agenda - Introduction - Mechatronics Data Model: Single Source of Product Knowledge - Best-in-class Tools

More information

Physical Level Design using Synopsys

Physical Level Design using Synopsys 1 Physical Level Design using Synopsys Jamie Bernard, Student MS CpE George Mason University Abstract Very-Large-Scale-Integration (VLSI) of digital systems is the foundation of electronic applications

More information

System IO Planning and Design Feasibility Challenges and Solutions Kevin Rinebold Product Marketing Manager

System IO Planning and Design Feasibility Challenges and Solutions Kevin Rinebold Product Marketing Manager DesignCon 2009 System IO Planning and Design Feasibility Challenges and Solutions Kevin Rinebold Product Marketing Manager rinebold@cadence.com 1 Abstract This paper will briefly examine the current trends

More information

Design Rules & DFM for High-Speed Design

Design Rules & DFM for High-Speed Design BEYOND DESIGN C O L U M N Design Rules & DFM for High-Speed Design by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA Summary: Rules are necessary for compatibility with target manufacturing equipment

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

Technical Note. e MMC PCB Design Guide. Introduction. TN-FC-35: e MMC PCB Design Guide. Introduction

Technical Note. e MMC PCB Design Guide. Introduction. TN-FC-35: e MMC PCB Design Guide. Introduction Introduction Technical Note e MMC PCB Design Guide Introduction This document is intended as guide for PCB designers using Micron e MMC devices and will discuss the primary issues affecting design and

More information

Anadigm FPAA Solutions Training Class II

Anadigm FPAA Solutions Training Class II Anadigm FPAA Solutions Training Class II AnadigmApex On Line Customer Seminar Series Nov 2016 page 1 Learning goals AnadigmApex FPAA switched capacitor technology AnadigmApex silicon components and architecture

More information

How to make THE difference in power management architecture

How to make THE difference in power management architecture How to make THE difference in power management architecture To reduce the Bill-of-Material (BoM) and to simplify their usage, System-on-Chips (SoC) become more and more complex due to the integration of

More information

ASPICS IPD Companion for ISM Transceiver IC: RF front end part

ASPICS IPD Companion for ISM Transceiver IC: RF front end part IPD Companion for ISM Transceiver IC: RF front end part Rev 1.2 TD Introduction The ASPICS320.606 is an integrated passives device specifically designed for use with the SEMTECH SX1211 868/915MHz ISM/UHF

More information

Locations: Head Quarter : Nutley, NJ Chicago, IL & Ahmedabad, India

Locations: Head Quarter : Nutley, NJ Chicago, IL & Ahmedabad, India Locations: Head Quarter : Nutley, NJ Chicago, IL & Ahmedabad, India www.pnconline.com Introduction Established in 1968 Head Quarters Nutley, NJ, USA Satellite locations: Chicago, China & India 100+ Employees

More information

Obstacle-aware Clock-tree Shaping during Placement

Obstacle-aware Clock-tree Shaping during Placement Obstacle-aware Clock-tree Shaping during Placement Dong-Jin Lee and Igor L. Markov Dept. of EECS, University of Michigan 1 Outline Motivation and challenges Limitations of existing techniques Optimization

More information

Overview. Design flow. Back-end process. FPGA design process. Conclusions

Overview. Design flow. Back-end process. FPGA design process. Conclusions ASIC Layout Overview Design flow Back-end process FPGA design process Conclusions 2 ASIC Design flow 3 Source: http://www.ami.ac.uk What is Backend? Physical Design: 1. FloorPlanning : Architect s job

More information

EDA Technologies Fueling IoT Implementation, Current and Future

EDA Technologies Fueling IoT Implementation, Current and Future EDA Technologies Fueling IoT Implementation, Current and Future Michael Thompson Internet of Things (IoT) Summit, RWW 2018 Anaheim, California January 14, 2018 IoT Standards/Applications 2 Industry Trends

More information

Project Management Methodology

Project Management Methodology IP OFFICE TECHS 888 530 9112 414 431 4777 Project Management Methodology 1 Project Management: Our Methodology The majority of our medium to larger projects follow our proven Customer Focused Methodology.

More information

14. Designing with FineLine BGA Packages

14. Designing with FineLine BGA Packages 14. Designing with FineLine BGA Packages S51014-1.0 Chapter 14, Designing with FineLine BGA Packages, replaces AN 114: Designing with FineLine BGA Packages. Introduction As programmable logic devices (PLDs)

More information

Signal Integrity Analysis Using Statistical Methods

Signal Integrity Analysis Using Statistical Methods Signal Integrity Analysis Using Statistical Methods J u l y 2012 TABLE OF CONTENTS Abstract... 3 Abbreviations... 4 Introduction... 5 Why DOE?... 6 Signal Integrity Flow... 6 Case Study SATA Interface...

More information

ETAS Desk LABCAR Agenda

ETAS Desk LABCAR Agenda 1 Public ETAS / STI3 02.14 ETAS GmbH 2013. All rights reserved, also regarding any disposal, exploitation, reproduction, editing, 1 A Global and Growing Company 2 Our Solution Portfolio 3 ETAS Products

More information

Chapter 14. Designing with FineLine BGA Packages

Chapter 14. Designing with FineLine BGA Packages Chapter 14. Designing with FineLine BGA Packages S53009-1.3 Chapter 14, Designing with FineLine BGA Packages, replaces AN 114: Designing with FineLine BGA Packages. Introduction As programmable logic devices

More information

Sage ERP Intelligence December 13, Debbie Hill Sage Software Denise Austin DM2 Software, Inc. & Tom Lane DM2 Software, Inc.

Sage ERP Intelligence December 13, Debbie Hill Sage Software Denise Austin DM2 Software, Inc. & Tom Lane DM2 Software, Inc. December 13, 2012 Debbie Hill Sage Software Denise Austin DM2 Software, Inc. & Tom Lane DM2 Software, Inc. Agenda What Is Sage Intelligence Sage Intelligence Components Standard Reports New Report Designer

More information

PCB Design Process and Fabrication Challenges

PCB Design Process and Fabrication Challenges PCB Design Process and Fabrication Challenges Nikola Zlatanov* Virtually every electronic product is constructed with one or more printed-circuit boards (PCBs). The PCBs hold the ICs and other components

More information

Intelligent Over Temperature Protection for LED Lighting Applications

Intelligent Over Temperature Protection for LED Lighting Applications Intelligent Over Temperature Protection for LED Lighting Applications White paper Authors: Hakan Yilmazer, Bernd Pflaum October 2013 Power Management & Multimarket Intelligent Over Temperature Protection

More information

ETAS Webinar: LABCAR - Scalable HiL Solution

ETAS Webinar: LABCAR - Scalable HiL Solution 1 Public ETIN/STI3 2014-02-27 ETAS GmbH 2014. All rights reserved, also regarding any disposal, exploitation, reproduction, Agenda Content 1 ECU testing methods 2 Need for HiL 3 Tests on ETAS LABCAR 4

More information

WireWorks. Engineering Design for Wiring, Harnesses, Cable Assemblies, Control Panels, Hydraulics and Pneumatics

WireWorks. Engineering Design for Wiring, Harnesses, Cable Assemblies, Control Panels, Hydraulics and Pneumatics WireWorks Engineering Design for Wiring, Harnesses, Cable Assemblies, Control Panels, Hydraulics and Pneumatics Overview E³.WireWorks is a Windows-based, modular, scalable and easy-to-learn system for

More information

Engineering/InPlan Automatic Engineering System

Engineering/InPlan Automatic Engineering System Engineering/InPlan Engineering/InPlan Automatic Engineering System Engineering/InPlan InPlan - Automatic Engineering System InPlan is a comprehensive PCB automatic engineering system that synergizes sophisticated

More information

Company Company We manage your success We manage your success

Company Company We manage your success We manage your success Company Company We manage your success Our principle is designing and developing environmentallyfriendly, low energy systems and components for automation technology. Our strength is the optimal combination

More information

High Level Tools for Low-Power ASIC design

High Level Tools for Low-Power ASIC design High Level Tools for Low-Power ASIC design Arne Schulz OFFIS Research Institute, Germany 1 Overview introduction high level power estimation µprocessors ASICs tool overview µprocessors ASICs conclusion

More information

Welcome to Streamline Circuits Lunch & Learn. Design for Reliability & Cost Reduction of Advanced Rigid-Flex/Flex PCB Technology

Welcome to Streamline Circuits Lunch & Learn. Design for Reliability & Cost Reduction of Advanced Rigid-Flex/Flex PCB Technology Welcome to Streamline Circuits Lunch & Learn Design for Reliability & Cost Reduction of Advanced Rigid-Flex/Flex PCB Technology Accurate PCB data is critical to the tooling process. Here are some key items

More information

Fairchild Semiconductor Application Note January 2001 Revised September Using BGA Packages

Fairchild Semiconductor Application Note January 2001 Revised September Using BGA Packages Introduction AN-5026 Demanding space and weight requirements of personal computing and portable electronic equipment has led to many innovations in IC packaging. Combining the right interface and logic

More information

IBM Bottleneck Analysis

IBM Bottleneck Analysis IBM Bottleneck Analysis by Hubert Harrer and Team Hubert Harrer IBM Deutschland Research & Development GmbH Schoenaicherstr. 220 71032 Boeblingen Germany hharrer@de.ibm.com Impact of Increased Bandwidth

More information

HIGH-SPEED SYSTEM INTERCONNECT DESIGN

HIGH-SPEED SYSTEM INTERCONNECT DESIGN PACKAGE-BOARD SOLUTIONS OVERVIEW HIGH-SPEED SYSTEM INTERCONNECT DESIGN CADENCE TECHNOLOGIES MEET INDUSTRY S NEED FOR SPEED HIGH-SPEED PACKAGE-BOARD DESIGN TECHNOLOGIES MOVE AT MULTIGIGABIT SPEED Electronics

More information

ATLAS Level 1MuonBarrel Optical Link Final Design Review

ATLAS Level 1MuonBarrel Optical Link Final Design Review ATLAS Level 1MuonBarrel Optical Link Final Design Review Alberto Aloisio INFN - Sezione di Napoli, Italy e-mail: aloisio@na.infn.it Mar. 12, 2002 Mar. 12, 2002 2 Overview Optical links in the Muon Level-1

More information

(13) PCB fabrication / (2) Focused assembly

(13) PCB fabrication / (2) Focused assembly Company Fact Sheet TTM Technologies, Inc. is a world-wide leader in the manufacture of technologically advanced PCBs, backplane and sub-system assemblies. Our Global Presence / Local Knowledge approach

More information

Static Timing Analysis Techniques for FPGAs LATTICE SEMICONDUCTOR CORPORATION 2006

Static Timing Analysis Techniques for FPGAs LATTICE SEMICONDUCTOR CORPORATION 2006 Static Timing Analysis Techniques for FPGAs 1 Static Timing Analysis Techniques for FPGAs Why STA? Verify the design meets timing constraints Faster than timing-driven, gate-level simulation Ease design

More information

4. Back-End Timing Closure for HardCopy Series Devices

4. Back-End Timing Closure for HardCopy Series Devices 4. Back-End Timing Closure for HardCopy Series Devices H51013-2.4 Introduction Back-end implementation of HardCopy series devices meet design requirements through a timing closure process similar to the

More information

1. Explain the architecture and technology used within FPGAs. 2. Compare FPGAs with alternative devices. 3. Use FPGA design tools.

1. Explain the architecture and technology used within FPGAs. 2. Compare FPGAs with alternative devices. 3. Use FPGA design tools. Higher National Unit Specification General information for centres Unit code: DG3P 35 Unit purpose: This Unit is designed to enable candidates to gain some knowledge and understanding of the architecture

More information

Graser User Conference Only

Graser User Conference Only 2.5D/3D Design Solution Eric Chen & Scott Liu 31/Oct/2014 Roadmap data is provided for informational purposes only and does not represent a commitment to deliver any of the features or functionality discussed

More information

Designing With High-Density BGA Packages for Altera Devices. Introduction. Overview of BGA Packages

Designing With High-Density BGA Packages for Altera Devices. Introduction. Overview of BGA Packages Designing With High-Density BGA Packages for Altera Devices December 2007, ver. 5.1 Application Note 114 Introduction As programmable logic devices (PLDs) increase in density and I/O pins, the demand for

More information

UHF RFID Technology on the Front Line RFID in MRO Processes

UHF RFID Technology on the Front Line RFID in MRO Processes UHF RFID Technology on the Front Line RFID in MRO Processes Agenda Introduction LHT s RFID Approach Permanent Parts Marking Current Situation & Outlook Lufthansa Aviation Group Company Profile Revenue

More information

ALLEGRO PCB LIBRARIAN 610

ALLEGRO PCB LIBRARIAN 610 DATASHEET ALLEGRO PCB LIBRARIAN 610 AUTOMATED LIBRARY PART CREATION, VALIDATION, AND MANAGEMENT Cadence Allegro PCB Librarian 610, a 600 series product within the Allegro system interconnect design platform,

More information

Counting squares: A method to quickly estimate PWB trace resistance EDN

Counting squares: A method to quickly estimate PWB trace resistance EDN 1 of 7 2/17/2017 12:31 PM EDN MOMENT Ranger 8 sent to photograph lunar surface, February 17, Search Sign In Sign Up Vincent Spataro -April 12, 2013 7 Comments Share Tweet Like 29 Introduction We often

More information

Airborne Electronic Hardware Lessons Learned Panel National Software and Airborne Electronic Hardware (SW & AEH) Conference

Airborne Electronic Hardware Lessons Learned Panel National Software and Airborne Electronic Hardware (SW & AEH) Conference Airborne Electronic Hardware Lessons Learned Panel 2008 National Software and Airborne Electronic Hardware (SW & AEH) Conference Martha Blankenberger Company DER Rolls Royce Tammy Reeve Panel members FAA

More information

CST STUDIO SUITE ELECTROMAGNETIC FIELD SIMULATION SOFTWARE

CST STUDIO SUITE ELECTROMAGNETIC FIELD SIMULATION SOFTWARE CST STUDIO SUITE ELECTROMAGNETIC FIELD SIMULATION SOFTWARE WHY SIMULATE? Electromagnetic (EM) components are crucial to the success of an ever-increasing range of products. Not only are long established

More information

THE ENERGY ENGINEERING COMPANY. E²CON-GE ENGINE CONTROLLER Retrofit system based on openecs for modernizing GE Jenbacher gas engines.

THE ENERGY ENGINEERING COMPANY. E²CON-GE ENGINE CONTROLLER Retrofit system based on openecs for modernizing GE Jenbacher gas engines. THE ENERGY ENGINEERING COMPANY E²CON-GE ENGINE CONTROLLER Retrofit system based on openecs for modernizing GE Jenbacher gas engines www.avat.de COMPLETE CONTROL SYSTEM FOR GE Jenbacher GAS ENGINES: CONSTANTLY

More information

Integrate. Integration of all E-CAD and Software Authoring Systems and of specific ERP Connectors

Integrate. Integration of all E-CAD and Software Authoring Systems and of specific ERP Connectors Integrate Integration of all E-CAD and Software Authoring Systems and of specific ERP Connectors Products and Introduction V1.6 07/13/2010 P: 2/18 1 Scope...7 1.1 Highlights... 7 2 Integration with Electronic

More information

Platform Symposium Sept 2004

Platform Symposium Sept 2004 Platform Symposium Sept 2004 Commodity Qualification Challenges Platform Procurement Commodities Mechanical Metals and Plastics Fabrication Drawer/Rack/Cage Assembly Power AC/DC, DC/DC, Adapters, Batteries

More information

The Cadence Sigrity Products. Srdjan Djordjevic Senior Sales Technical Leader

The Cadence Sigrity Products. Srdjan Djordjevic Senior Sales Technical Leader The Cadence Sigrity Products Srdjan Djordjevic Senior Sales Technical Leader srdjand@cadence.com 19.11.2012. PowerSI PowerSI is an advanced signal integrity, power integrity and design- stage EMI solution.

More information

Packaging and Ball Bonding Gold wire makes contact from bonding pads on chip to package Gold wire is formed into ball to make contact Uses an

Packaging and Ball Bonding Gold wire makes contact from bonding pads on chip to package Gold wire is formed into ball to make contact Uses an Packaging and Ball Bonding Gold wire makes contact from bonding pads on chip to package Gold wire is formed into ball to make contact Uses an ultrasonic process & heat Process called "Ball Bonding" Wedge

More information

IC PACKAGING: APE & APD

IC PACKAGING: APE & APD DATASHEET IC PACKAGING: APE & APD HIGH-DENSITY IC PACKAGE DESIGN AND ANALYSIS The rapid emergence and widespread adoption of deep-submicron (DSM) and system-on-a-chip (SoC) integrated circuits are placing

More information

Startups Accelerating Going to Production With Altium

Startups Accelerating Going to Production With Altium Startups Accelerating Going to Production With Altium Richard Marshall CEO Xitex Ltd Munich, Germany, October 24-25 th 2017 Startups - Accelerating going to production with Altium Startups Crossing the

More information

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs Power Grid Analysis Challenges for Large Microprocessor Designs Alexander Korobkov Contents Introduction Oracle Sparc design: data size and trend Power grid extraction challenges

More information

Implementing a control application on an FPGA Platform

Implementing a control application on an FPGA Platform Implementing a control application on an FPGA Platform Jérôme PIZEL and Alain OURGHANLIAN EDF R&D 6 quai Watier 78401 CHATOU jerome.pizel@edf.fr; alain-1.ourghanlian@edf.fr ABSTRACT Today, many I&C system

More information

Packaging Commercial CMOS Chips for Lab on a Chip Integration

Packaging Commercial CMOS Chips for Lab on a Chip Integration Supporting Information for Packaging Commercial CMOS Chips for Lab on a Chip Integration by Timir Datta-Chaudhuri, Pamela Abshire, and Elisabeth Smela Biocompatibility Although the supplier s instructions

More information

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track Systems & Technology Group Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track Ben Mashak, Howard Chen and Bill Hovis {mashak, haowei, hovis}@us.ibm.com Outline

More information

Zynq UltraScale+ RFSoC Power Delivery

Zynq UltraScale+ RFSoC Power Delivery Zynq UltraScale+ RFSoC Power Delivery April 4, 2018 rev 20170706 Vin=12V Rail# Rail Voltage Ripple (DC+AC) Zynq UltraScale+ RFSoC Power Rails Load Step Load Comments 1 VCCINT 0.72/0.85/ 0.9V ±3% 30-45A

More information

HARBORTOUCH HOSPITALITY USER GUIDE. Harbortouch Technical Support: or

HARBORTOUCH HOSPITALITY USER GUIDE. Harbortouch Technical Support: or HARBORTOUCH HOSPITALITY USER GUIDE INDEX EMPLOYEE FUNCTIONS: Clocking In/Logging In Clocking Out Beginning a Transaction Payment Options Host Mode Customer Database List View Ticket Functions Item Functions

More information

Mentor Graphics Higher Education Program

Mentor Graphics Higher Education Program Mentor Graphics Higher Education Program Infrastructures for Education EWME Panel Session 5/30/08 Ian Burgess Design for Globalization 2 A Simplified View of the EDA Market Concept to Verified RTL Functional

More information

Contents. System Design from Concept to Manufacturing 2. System Definition 2. PCB Layout 4. Analysis and Verification 7

Contents. System Design from Concept to Manufacturing 2. System Definition 2. PCB Layout 4. Analysis and Verification 7 Ent er pr i se Thet ec hnol ogyl eaderf ort oday smos tc ompl expcbs y s t emsdes i gns Contents System Design from Concept to Manufacturing 2 Tightly Integrated Flow 2 Industry-unique Technologies 2 System

More information

Installation Manual for U200 and Overhead

Installation Manual for U200 and Overhead Overhead Sensor (DCC22-12) Traffic Counter Unit PART I: Installation of the Sensors Step 1: Hooking up the STC Connect the 15 pin cable of the junction box to the Junction Box port on the STC. See 1.1

More information

Hierarchical Design and Analysis Environment. Improve performance and capacity while reducing design time

Hierarchical Design and Analysis Environment. Improve performance and capacity while reducing design time Hierarchical Design and Analysis Environment Improve performance and capacity while reducing design time Design Complexity Increasing More and more FPGA designs are Platform designs today Users integrating

More information

Create a Measurement with BenchVue & Mass Data Analysis Software. Moon Jong-Won

Create a Measurement with BenchVue & Mass Data Analysis Software. Moon Jong-Won Create a Measurement with BenchVue & Mass Data Analysis Software Moon Jong-Won Agenda 2 What s in BenchVue? BenchVue Basic Apps Test Flow How to use the Benchvue in labs and classrooms? BenchVue Demo Test

More information

INOView. Safety at a glance. Sicherheitstechnik GmbH

INOView. Safety at a glance. Sicherheitstechnik GmbH INOView Safety at a glance Sicherheitstechnik GmbH INOTEC Sicherheitstechnik GmbH Innovative emergency lighting technology INOTEC Sicherheitstechnik GmbH is a company with the target to create innovative

More information

11. Timing Closure in HardCopy Devices

11. Timing Closure in HardCopy Devices 11. Timing Closure in HardCopy Devices qii52010-2.0 Introduction Timing analysis is performed on an FPGA design to determine that the design s performance meets the required timing goals. This analysis

More information

Paradigm Shift in Design Assurance and Reliability Prediction

Paradigm Shift in Design Assurance and Reliability Prediction Paradigm Shift in Design Assurance and Reliability Prediction Ed Dodd, Clayton Bonn, and Craig Hillman DfR Solutions, College Park, MD Yizhak Bot BQR Reliability Engineering, Rishon Lezion, Israel Reliability

More information

Agilent Series Portable Logic Analyzers

Agilent Series Portable Logic Analyzers The 16800 Series portable logic analyzers offer advanced measurements for your digital applications at a price that will fit your budget. Agilent 16800 Series Portable Logic Analyzers Measurements that

More information

Bridging the Gap between EDA and MCAD

Bridging the Gap between EDA and MCAD Ken Versprille, PLM Research Director Significant trends in new product development evident across all industry verticals continue pointing to increased content of electronic components and to further

More information

(RFID) Radio Frequency IDentification System RFID 101. M. I. Suhile Ahamed

(RFID) Radio Frequency IDentification System RFID 101. M. I. Suhile Ahamed Radio Frequency IDentification System (RFID) RFID 101 Technical Whitepaper V1.0.1 M. I. Suhile Ahamed KCP Technologies Limited 2, Dr. P. V. Cherian Crescent, Egmore, Chennai - 600 008, INDIA. Phone: +91

More information

Renard SS24 Board Assembly

Renard SS24 Board Assembly Renard SS24 Board Assembly The following sequence of steps is by no means the only way to assemble the Renard SS24. It is simply a suggested order of events to achieve the desired goal. NOTE: The part

More information

Consolidating RF Flow for High-Frequency Product Design By Michael Thompson, Senior Solutions Architect, Cadence

Consolidating RF Flow for High-Frequency Product Design By Michael Thompson, Senior Solutions Architect, Cadence Consolidating RF Flow for High-Frequency Product Design By Michael Thompson, Senior Solutions Architect, Cadence Design flows are currently fragmented due to the use of poorly connected EDA tools for various

More information

Railway Simulation & Timetable Planning

Railway Simulation & Timetable Planning Your Local Partner for Railway Projects Anywhere in South East Asia Railway Simulation & Timetable Planning Engineering & Maintenance CONSULTING SIMULATION PLANNING ENGINEERING PRODUCTS TECH SUPPORT Simulation

More information

13. Back-End Design Flow for HardCopy Series Devices

13. Back-End Design Flow for HardCopy Series Devices 13. Back-End esign Flow for HardCopy Series evices H51019-1.4 Introduction This chapter discusses the back-end design flow executed by the HardCopy esign Center when developing your HardCopy series device.

More information

Online EMC Numerical Simulation

Online EMC Numerical Simulation Online EMC Numerical Simulation Dr. EMC Expert Groupe PSA marco.klingler@mpsa.com 09/09/2016 EMC Europe 2016 Symposium Wroclaw (Poland) September 5 th - 9 th, 2016 Context and trigger of this approach

More information

Access control SENATORFX.NET. Quick Start Guide

Access control SENATORFX.NET. Quick Start Guide Access control SENATORFX.NET Quick Start Guide p.3/48 Contents Contents... 3 Acknowledgement... 4 Information... 5 1) PC specifications... 5 2) RS485 bus connections... 6 3) Ethernet connections... 7

More information

The Essential Role of DFx In The Lean Smart New Product Introduction (NPI) Flow

The Essential Role of DFx In The Lean Smart New Product Introduction (NPI) Flow The Essential Role of DFx In The Lean Smart New Product Introduction (NPI) Flow Presenter: Michael Ford Title: Marketing Development Manager Date: 16 th March 2017 Today s Agenda What is Industry 4.0 and

More information