EDA Technologies Fueling IoT Implementation, Current and Future

Size: px
Start display at page:

Download "EDA Technologies Fueling IoT Implementation, Current and Future"

Transcription

1 EDA Technologies Fueling IoT Implementation, Current and Future Michael Thompson Internet of Things (IoT) Summit, RWW 2018 Anaheim, California January 14, 2018

2 IoT Standards/Applications 2

3 Industry Trends and Business Considerations Profitability Economy of Scale vs Performance, Development Costs Is smaller better; smaller process node-more devices One process does not fit all Multi-Technologies needed Manufacturability 4X Design Cost It may prove to be more economical to build large systems out of smaller functions, which are separately packaged and interconnected. The availability of large functions, combined with functional design and construction, should allow the manufacturer of large systems to design and construct a considerable variety of equipment both rapidly and economically. Gordon Moore Cramming more components on to Integrated Circuits Electronic Vol 38 Num 8, April 19,

4 High Level System Partitioning RF Front End Communications RF/AFE DFE CPU DSP Core Audio & Voice PHY MAC Interfaces Security Noise, Image, Video Processing Vector Processing Sensors Interface Sensor(s) Memory Power Management 4

5 Some EDA Challenges Shrinking Device/Process Size Advanced Nodes Manufacturing Advanced Nodes Multi-Technology Designs Si, SiGe, SOI, InP, GaN, GaAs Surface Mount Devices (SMD) Alumina, Duroid, Flexible Substrated Model Support of Devices Multi-Technology Simulation Physical Effects Design teams need to easily and quickly prototype designs requiring agile editing to quickly work through design optimization but that use manufacturable parts and processes that can be controlled. The specification of the design and design validation must be based on Standard s requirements. 5

6 6 Advanced Nodes

7 Double Patterning LELE SiN SiO 2 7

8 Smaller and smaller process nodes have unique fabrication requirements The way we design at 7/8nm is very different than 28nm 8

9 Virtuoso Advanced Node EM, IR and RC effects Virtuoso Advanced Node is the industry standard for advanced node custom/analog design Breakthrough release supporting novel design methodologies required at advanced nodes Continued partnership with leading foundries and customers to define and develop new custom design methodologies New Transistors (FinFETs UTFDSOI) New Interconnect Layers Complex Design Rules Device Variation Multi Patterning DPT/MPT Through our strong collaboration and continued partnership with Cadence, we have been able to develop and deploy a custom design methodology based on the Virtuoso Advanced-Node Platform. With our recent successful tapeout, we took advantage of its many unique capabilities designed to manage the challenges presented at 7nm. Ching San Wu, general manager of Analog Design and Circuit Technology at MediaTek 9

10 Virtuoso Advanced Node ICADV 12.X A brief history Advanced layout methodology Electrically driven optimization Place & route integration 7nm and beyond rules support Next generation MPT support 12.3 First advanced node release FinFET editing First generation DPT support 16nm/14nm rules support In-design DRC checking Fully colored MPT flows Enhanced FinFET editing SADP routing support 10nm rules support In-design electrical checking 10

11 Fundamental challenges designing at advanced nodes The reality is that as process Projected nodes get smaller and smaller, custom layout times get longer Project schedules are also simultaneously being reduced Goal To maintain this, the layout teams need to be larger Hire more contractors Need a better approach Collaborate closely with customers and foundries to define targets to build solutions/pdks/methodologies to address this problem 11

12 Foundry collaboration and enablement is key Reference Flows For More Detailed Information Robust reference flows Webinars For More Detailed Information Recorded webinars Innovative solutions with proven results in development V1.0 V2.0 V3.0 N16 N16+ N10 N nm 28nm 20nm 16nmFF 16nmFF+ 10nm 7nm Cadence Design Systems, Inc. All rights reserved Cadence Design Systems, Inc. All rights reserved. 12

13 Closing remarks Virtuoso Advanced Node Custom Design Flow (ICADV12.3) Measure early and often for first-pass success Though 7nm and below presents a host of new challenges, Virtuoso Advanced Node has been expanded with close collaboration with foundries and early adopters to detect, prevent, and fix problems before they occur Virtuoso Advanced Node has been updated to handle color-aware layout, new high-density interconnect layers, and all new 7/8nm DRM rules Just the beginning Cadence continues to proactively collaborating to define new flows, methodologies, and functionality for an even better designer experience at 7nm and beyond 13

14 14 Front-End Shrink Real Estate Is Expensive

15 Radio Design Flow Challenges RF sections at one time were sacrosanct and the designer could count on stay-out regions. That no longer occurs. 15

16 Model Level Controller CMOS Filters BAW, SAW Multi-Technology Minimal spacing Transceiver SiGe Power Amps GaAs Switches SOI The reduction of the RF volume continues to place more and more importance on Extraction and EM simulation. 16

17 Integrated EM, Multi-Engine Support FEM, MoM, PEEC, FDTD Integrated RFIC solution by leveraging the Virtuoso platform and Sigrity PowerSI 3D-EM Extraction Option Sigrity 3D-EM setup for passive structure extraction inside the Virtuoso platform and launch Sigrity 3D-EM simulation 17

18 3D EM in Virtuoso 18

19 RF-module Simulations Annotating Extracted view on RF-module Master Schematic 19

20 EM In Design Flow 20

21 21 Design Flow

22 Design Flow Goals Golden Schematic Design Trade-Offs, Optimization Layout Generation Design Review, Sign- Off Design Verification Manufacturing 22

23 Adding RF and Module Elements Multi-Technology: IC, SMD, Laminate, Board Any Angle Placement Arcs, Rounded End Caps, Transmission Lines Editing Library Sorting Features Rounded End Types, Any Angle Component or Trace Orientation Expanded Transmission Line Library Bondwire Construction Library Structure for SMD Piece Parts 23

24 Multi-Technology (Die and Package) Editing in Concert 24

25 RF Design Flow ADE SpectreRF VSE Gold Schematic LVS VLE Allegro Design Verification - LVS - DRC Single Unified EM Analysis Environment Common EM View Multiple EM Analysis Techniques PEEC Quasi Static MoM Sigrity 3DEM FDTD 25

26 26 Design To Test

27 Design Two Test Flow C / Math RF Tape Out Behavioral System Models Design & Verification Pre-Silicon Chip Validation Silicon Bring-up Characterization Production Test Digital Analog Prototype Silicon Silicon always@ck B <= A; C <= B; D <= C; end 27

28 Virtual PA Test 28

29 29 Like Continuous Process Improvement in manufacturing, the EDA industry faces similar challenges and paradigm shifts. Considerable R&D effort is expended each year for the EDA industry to track and work with foundry advancements, device model changes, and new Standards.

30 Thank You For Your Attention...and Happy Connections 30

31

Consolidating RF Flow for High-Frequency Product Design By Michael Thompson, Senior Solutions Architect, Cadence

Consolidating RF Flow for High-Frequency Product Design By Michael Thompson, Senior Solutions Architect, Cadence Consolidating RF Flow for High-Frequency Product Design By Michael Thompson, Senior Solutions Architect, Cadence Design flows are currently fragmented due to the use of poorly connected EDA tools for various

More information

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Scott / Graser 16 / Oct / 2015 Agenda Introduction -- Cadence Power Signoff Solution Transistor-Level EMIR Challenges and

More information

Graser User Conference Only

Graser User Conference Only 2.5D/3D Design Solution Eric Chen & Scott Liu 31/Oct/2014 Roadmap data is provided for informational purposes only and does not represent a commitment to deliver any of the features or functionality discussed

More information

NI AWR Design Environment

NI AWR Design Environment RF/Microwave EDA Software Suite ni.com/awr RF/Microwave EDA Software Suite Capabilities is an EDA software suite that provides RF/ microwave engineers with access to innovative high-frequency circuit,

More information

Agilent EEsof EDA. Product Overview. Premier Communications Design Software

Agilent EEsof EDA. Product Overview. Premier Communications Design Software Agilent EEsof EDA Product Overview Premier Communications Design Software Offering Design Software for Complete Flow Solutions Figure 1. Agilent EEsof EDA is the leading supplier of Electronic Design Automation

More information

The Cadence Sigrity Products. Srdjan Djordjevic Senior Sales Technical Leader

The Cadence Sigrity Products. Srdjan Djordjevic Senior Sales Technical Leader The Cadence Sigrity Products Srdjan Djordjevic Senior Sales Technical Leader srdjand@cadence.com 19.11.2012. PowerSI PowerSI is an advanced signal integrity, power integrity and design- stage EMI solution.

More information

Keysight Technologies Keysight EEsof EDA Advanced Design System

Keysight Technologies Keysight EEsof EDA Advanced Design System Keysight Technologies Keysight EEsof EDA Advanced Design System The Industry s Leading RF, Microwave, Signal Integrity and Power Integrity Design Platform 02 Keysight EEsof EDA Advanced Design System -

More information

HIGH-SPEED SYSTEM INTERCONNECT DESIGN

HIGH-SPEED SYSTEM INTERCONNECT DESIGN PACKAGE-BOARD SOLUTIONS OVERVIEW HIGH-SPEED SYSTEM INTERCONNECT DESIGN CADENCE TECHNOLOGIES MEET INDUSTRY S NEED FOR SPEED HIGH-SPEED PACKAGE-BOARD DESIGN TECHNOLOGIES MOVE AT MULTIGIGABIT SPEED Electronics

More information

RF System in Packages using Integrated Passive Devices

RF System in Packages using Integrated Passive Devices RF System in Packages using Integrated Passive Devices by Kai Liu, YongTaek Lee, HyunTai Kim, Gwang Kim, and Billy Ahn STATS ChipPAC 1711 W. Greentree Drive, Suite #117, Tempe, AZ 85284, USA Tel: 480-222-1722

More information

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys.

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys. DATASHEET IC Validator High-performance DRC/LVS physical verification substantially reduces time-to-results Overview Synopsys IC Validator is a comprehensive physical verification signoff solution that

More information

StarRC Custom Parasitic extraction for next-generation custom IC design

StarRC Custom Parasitic extraction for next-generation custom IC design Datasheet Parasitic extraction for next-generation custom IC design Overview StarRC is the advanced parasitic extraction solution architected for next-generation custom digital, analog/mixed-signal (AMS)

More information

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

System in Package: Identified Technology Needs from the 2004 inemi Roadmap System in Package: Identified Technology Needs from the 2004 inemi Roadmap James Mark Bird Amkor Technology Inc System in package (SiP) technology has grown significantly in the past several years. It

More information

Discontinuity Regions in Package

Discontinuity Regions in Package Discontinuity Regions in Package Channel: bonding wire PKG trace via solder ball PCB trace PKG traces & PCB traces: uniform transmission lines Bonding wires, vias & solder balls: discontinuity regions

More information

breakthrough simplicity

breakthrough simplicity breakthrough simplicity complication The Skyworks Advantage Skyworks Solutions, Inc. is the world s largest company focused exclusively on wireless semiconductor solutions, satisfying both terminal and

More information

How to make THE difference in power management architecture

How to make THE difference in power management architecture How to make THE difference in power management architecture To reduce the Bill-of-Material (BoM) and to simplify their usage, System-on-Chips (SoC) become more and more complex due to the integration of

More information

Cadence Virtuoso Custom Design Platform

Cadence Virtuoso Custom Design Platform Cadence Virtuoso Custom Design Platform Precisely the fastest path to differentiated silicon Personal consumer electronics and wireless products have become the dominant force in today s global electronics

More information

Predicting, Validating, and Improving Yield of Multi-Chip RF Modules During Product Development

Predicting, Validating, and Improving Yield of Multi-Chip RF Modules During Product Development Predicting, Validating, and Improving Yield of Multi-Chip RF Modules During Product Development James M. Eastham, Member, IEEE Director, Product Development Engineering at TriQuint Semiconductor james.eastham@ieee.org

More information

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar.

Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Presenters: Ing. Mauricio E. Caamaño B. Ing. Oscar A. Muñoz Alcazar. Agenda 1. What is Structural Design? 2. Logic and physical optimization process 3. Signoff flows in SD 4. Structural Design team skillset

More information

Realizing the Full Potential of MEMS Design Automation. Steve Breit, Ph.D., V.P. Engineering

Realizing the Full Potential of MEMS Design Automation. Steve Breit, Ph.D., V.P. Engineering Realizing the Full Potential of Design Automation Steve Breit, Ph.D., V.P. Engineering MEPTEC Symposium 2012 Coventor Overview Founded in 1996 with a focus on software for design and simulation Management

More information

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs Power Grid Analysis Challenges for Large Microprocessor Designs Alexander Korobkov Contents Introduction Oracle Sparc design: data size and trend Power grid extraction challenges

More information

Design for Low-Power at the Electronic System Level Frank Schirrmeister ChipVision Design Systems

Design for Low-Power at the Electronic System Level Frank Schirrmeister ChipVision Design Systems Frank Schirrmeister ChipVision Design Systems franks@chipvision.com 1. Introduction 1.1. Motivation Well, it happened again. Just when you were about to beat the high score of your favorite game your portable

More information

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd ConFab Bridging the Fabless-Foundry Gap BJ Woo Sr. Director Business Development TSMC 2 Outline Fabless Requirements Technology Scaling Challenges IP Quality Foundry Integrated Manufacturing Value Summary

More information

SiGe Technologies for Performance-Driven Applications

SiGe Technologies for Performance-Driven Applications SiGe Technologies for Performance-Driven Applications Delivering differentiated performance, integration advantages and value in chips for demanding RF applications Oceans of data are crossing today s

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

IC Integrated Manufacturing Outsourcing Solution

IC Integrated Manufacturing Outsourcing Solution IC Integrated Manufacturing Outsourcing Solution Integrated One-Stop Service Mature and Low Cost Loop for IC Manufacturing Taiwan s Comprehensive Resources Fast and Easy Engagement to Our Solution Professional

More information

NI AWR Design Environment V14

NI AWR Design Environment V14 Product Review NI AWR Design Environment V14 Accelerating RF/Microwave Design From Concept to Product Advancing communication and sensor technologies, driven by applications such as 5G, internet of things

More information

Overview. Design flow. Back-end process. FPGA design process. Conclusions

Overview. Design flow. Back-end process. FPGA design process. Conclusions ASIC Layout Overview Design flow Back-end process FPGA design process Conclusions 2 ASIC Design flow 3 Source: http://www.ami.ac.uk What is Backend? Physical Design: 1. FloorPlanning : Architect s job

More information

Steve Chin, Stretch, Inc.

Steve Chin, Stretch, Inc. Improving Team Productivity through Design Data Management in ICstudio Steve Chin, Stretch, Inc. Karim Khalfan, ClioSoft, Inc. Stretch is a fabless semiconductor company that provides software-configurable

More information

Technical Viability of Stacked Silicon Interconnect Technology

Technical Viability of Stacked Silicon Interconnect Technology Technical Viability of Stacked Silicon Interconnect Technology Dr. Handel H. Jones Founder and CEO, IBS Inc. Los Gatos, California October 2010 TECHNICAL VIABILITY OF STACKED SILICON INTERCONNECT TECHNOLOGY

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C SNEHA S 1, ROOPA G 2 1 PG Student, Dept. of Electronics and Communication Engineering, Nagarjuna College of Engineering, Bengaluru Karnataka Email: sneha44enz@gmail.com

More information

Digital VLSI Design. Lecture 1: Introduction

Digital VLSI Design. Lecture 1: Introduction Digital VLSI Design Lecture 1: Introduction Semester A, 2018-19 Lecturer: Dr. Adam Teman 20 October 2018 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied

More information

ASIC Physical Design CMOS Processes

ASIC Physical Design CMOS Processes ASIC Physical Design CMOS Processes Smith Text: Chapters 2 & 3 Weste CMOS VLSI Design Global Foundries: BiCMOS_8HP8XP_Training.pdf BiCMOS_8HP_Design_Manual.pdf Physical design process overview CMOS transistor

More information

Corporate Overview for Investors

Corporate Overview for Investors Corporate Overview for Investors May 2015 November 2016 2016 Synopsys, Inc. 1 Forward-Looking Statements This presentation contains forward-looking statements under the safe harbor provisions of Section

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

IARPA: ADVANCED CYBER RESEARCH IN A CONNECTED WORLD DR. STACEY DIXON

IARPA: ADVANCED CYBER RESEARCH IN A CONNECTED WORLD DR. STACEY DIXON IARPA: ADVANCED CYBER RESEARCH IN A CONNECTED WORLD DR. STACEY DIXON 25 October 2016 Intelligence Advanced Research Projects Activity The Intelligence Community Central Intelligence Agency Defense Intelligence

More information

Digital Design Methodology (Revisited)

Digital Design Methodology (Revisited) Digital Design Methodology (Revisited)! Design Methodology " Design Specification " Verification " Synthesis! Technology Options " Full Custom VLSI " Standard Cell ASIC " FPGA CS 150 Spring 2007 - Lec

More information

Design of High Density & 3D Packaging: Tools and Knowledge. Thomas S. Tarter Package Science Services LLC

Design of High Density & 3D Packaging: Tools and Knowledge. Thomas S. Tarter Package Science Services LLC Design of High Density & 3D Packaging: Tools and Knowledge Thomas S. Tarter Package Science Services LLC IEEE/CPMT Technical Luncheon Package Science Services 1 Outline Package Design Flow (the old way)

More information

Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design for Yield

Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design for Yield International Cooperation Forum Automotive IC-Design Challenges Strategies Trends Munich, Germany, October 25, 2005 Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design

More information

Design Flow Architecture and Statistical Sizing Methods Integration in STMicroelectronics Non Volatile Memory and Automotive Flows.

Design Flow Architecture and Statistical Sizing Methods Integration in STMicroelectronics Non Volatile Memory and Automotive Flows. MunEDA User Group Meeting 2007 NVM AMS Flows & Methods Manager Design Flow Architecture and Statistical Sizing Methods Integration in Non Volatile Memory and Automotive Flows NVM AMS Flows & Methods Manager

More information

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions Herb Reiter eda 2 asic Consulting, Inc. IMAPS, Oct 9 12 & MEPTEC, Nov 13, 2017 Herb@eda2asic.com IMAPS 50 th

More information

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS P A D S W H I T E P A P E R w w w. m e n t o r. c o m / p a d s INTRODUCTION Printed Circuit Board design is a

More information

Building the 21 st Century Integrated Silicon Photonics Ecosystem

Building the 21 st Century Integrated Silicon Photonics Ecosystem Building the 21 st Century Integrated Silicon Photonics Ecosystem Integrated Photonics is about data and sensing VISION Establish technology, business, and education framework for industry, government,

More information

Increasing challenges for size and cost reduction,

Increasing challenges for size and cost reduction, Packageon-Package: The Story Behind This Industry Hit Package-onpackage (PoP) technology is rapidly evolving to keep pace with the demand for faster, higherdensity devices in smaller, thinner stacks. As

More information

Typical Starting Points and Methodologies for Full Custom Analog Integrated Circuits and Micro-Systems Development and Manufacture. By SPG Staff.

Typical Starting Points and Methodologies for Full Custom Analog Integrated Circuits and Micro-Systems Development and Manufacture. By SPG Staff. Typical Starting Points and Methodologies for Full Custom Analog Integrated Circuits and Micro-Systems Development and Manufacture. By SPG Staff. Introduction: The following scenarios are typical, when

More information

Altera s Roadmap. Looking Forward Altera Corporation

Altera s Roadmap. Looking Forward Altera Corporation Altera s Roadmap Looking Forward 2004 Altera Corporation Agenda Technology & Process Product Roadmap & Challenges The Design Environment The System Design Decision HardCopy II Structured ASICs 2 2004 Altera

More information

Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems

Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems Datasheet Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems Overview Today s automobiles and trucks include more electronics features and functions than at any

More information

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity

More information

13. Back-End Design Flow for HardCopy Series Devices

13. Back-End Design Flow for HardCopy Series Devices 13. Back-End esign Flow for HardCopy Series evices H51019-1.4 Introduction This chapter discusses the back-end design flow executed by the HardCopy esign Center when developing your HardCopy series device.

More information

HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES

HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES Innovation Simplified HCL offers domain-specific hardware engineering and design services in VLSI ASIC, FPGA, and SoC engineering, board design,

More information

ALLEGRO PCB LIBRARIAN 610

ALLEGRO PCB LIBRARIAN 610 DATASHEET ALLEGRO PCB LIBRARIAN 610 AUTOMATED LIBRARY PART CREATION, VALIDATION, AND MANAGEMENT Cadence Allegro PCB Librarian 610, a 600 series product within the Allegro system interconnect design platform,

More information

Mobile Device Passive Integration from Wafer Process

Mobile Device Passive Integration from Wafer Process Mobile Device Passive Integration from Wafer Process Kai Liu, YongTaek Lee, HyunTai Kim, and MaPhooPwint Hlaing STATS ChipPAC, Inc. 1711 West Greentree, Suite 117, Tempe, Arizona 85284, USA Tel: 48-222-17

More information

Tackling the optical interconnection challenge for the Integrated Photonics Revolution

Tackling the optical interconnection challenge for the Integrated Photonics Revolution Tackling the optical interconnection challenge for the Integrated Photonics Revolution Dr. Ir. TU Delft, Precision and Microsystems Engineering m.tichem@tudelft.nl Microfabrication and MEMS Si microfabrication

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

Thermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California

Thermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California Thermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California www.gradient-da.com 3/19/2008 2008 Gradient Design Automation 1 Electronic

More information

Design without compromise - PADS Professional

Design without compromise - PADS Professional Design without compromise - PADS Professional Olivier Arnaud Application Engineer Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 2 Copyright CADCAM Group 2015 Three

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

2010 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary

2010 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary SIwave 5.0 PI Advisor for Optimized DDR3 Memory Design Presented by Sergey Polstyanko, Ph.D Senior R&D Manager 2010 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary Agenda Design Overview and

More information

Structure, Process & Cost Analysis

Structure, Process & Cost Analysis Reverse Costing Structure, Process & Cost Analysis Broadcom AFEM-8072 - Mid and High Band LTE RF Front-End Module (FEM) The first mid/high band Long Term Evolution (LTE) Radio Frequency (RF) FEM in the

More information

改變世界就是要你 台積電北美徵才. Date & Location. Interview Arrangement Come talk with us to see how tsmc can shape your career in a positive way

改變世界就是要你 台積電北美徵才. Date & Location. Interview Arrangement Come talk with us to see how tsmc can shape your career in a positive way 台積電北美徵才 改變世界就是要你 Date & Location 4/9-10 Purdue U. 4/11-12 U. of Michigan 4/11-13 San Jose 4/13-14 U. Penn 4/14-15 UCLA 4/16-17 MIT 4/16-17 UT Austin 4/18 Columbia U. Interview Arrangement Come talk with

More information

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing.

ECE 659. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, Digital EE141 Integrated Circuits 2nd Manufacturing. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 0, 00 1 CMOS Process 1 A Modern CMOS Process gate-oxide TiSi AlCu Tungsten

More information

Sharif University of Technology Introduction to ASICs

Sharif University of Technology Introduction to ASICs SoC Design Lecture 3: Introduction to ASICs Shaahin Hessabi Department of Computer Engineering Sharif University of Technology IC Technology The term ASIC is often reserved for circuits that are fabricated

More information

Key features in Xpedition Package Integrator include:

Key features in Xpedition Package Integrator include: Introduction Xpedition Package Integrator provides a co-design methodology that automates planning, optimization of connectivity from a chip through multiple packaging variables, while targeting multiple

More information

Die Thickness Effects in RF Front-End Module Stack-Die Assemblies

Die Thickness Effects in RF Front-End Module Stack-Die Assemblies Die Thickness Effects in RF Front-End Module Stack-Die Assemblies By Kai Liu*, YongTaek Lee, HyunTai Kim, Gwang Kim, Robert Frye**, Hlaing Ma Phoo Pwint***, and Billy Ahn * STATS ChipPAC, Inc. 1711 West

More information

Novel Technique for Flip Chip Packaging of High power Si, SiC and GaN Devices. Nahum Rapoport, Remtec, Inc.

Novel Technique for Flip Chip Packaging of High power Si, SiC and GaN Devices. Nahum Rapoport, Remtec, Inc. Novel Technique for Flip Chip Packaging of High power Si, SiC and GaN Devices Nahum Rapoport, Remtec, Inc. 1 Background Electronic Products Designers: under pressure to decrease cost and size Semiconductor

More information

VON BRAUN LABS. News WE PROVIDE COMPLETE SOLUTIONS WHO WE ARE OUR SOLUTIONS HOW WE DO WHAT WE DO VON BRAUN LABS

VON BRAUN LABS. News WE PROVIDE COMPLETE SOLUTIONS WHO WE ARE OUR SOLUTIONS HOW WE DO WHAT WE DO VON BRAUN LABS VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS WWW.VONBRAUNLABS.COM News VON BRAUN LABS WE PROVIDE COMPLETE SOLUTIONS WHO WE ARE OUR SOLUTIONS HOW WE DO WHAT WE DO Greetings from Mr. Dario S. Thober - CEO

More information

Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs. Norman Chang, VP and Sr. Product Strategist

Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs. Norman Chang, VP and Sr. Product Strategist Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs Norman Chang, VP and Sr. Product Strategist 1 2012 ANSYS, Inc. August 31, 2012 Outline 3D-IC/Silicon Interposer

More information

Smart Manufacturing in the Semiconductor Industry - Realizing the Digital Factory Vision

Smart Manufacturing in the Semiconductor Industry - Realizing the Digital Factory Vision Smart Manufacturing in the Semiconductor Industry - Realizing the Digital Factory Vision David Shen, Executive Director, Electronics & Semiconductor Restricted Siemens AG 2017 Realize innovation. Semiconductor

More information

GROWTH AREAS FOR IOT AND IMPACT ON FD SOI

GROWTH AREAS FOR IOT AND IMPACT ON FD SOI GROWTH AREAS FOR IOT AND IMPACT ON FD SOI APRIL 13, 217 INTERNATIONAL BUSINESS STRATEGIES, INC. 632 Industrial Way Los Gatos CA 953 USA 48 395 9585 48 395 5389 (fax) www.ibs-inc.net info@ibs-inc.net INTERNATIONAL

More information

Packaging and Ball Bonding Gold wire makes contact from bonding pads on chip to package Gold wire is formed into ball to make contact Uses an

Packaging and Ball Bonding Gold wire makes contact from bonding pads on chip to package Gold wire is formed into ball to make contact Uses an Packaging and Ball Bonding Gold wire makes contact from bonding pads on chip to package Gold wire is formed into ball to make contact Uses an ultrasonic process & heat Process called "Ball Bonding" Wedge

More information

If you want then let us introduce you to CADSTAR. The most powerful price/performance solution for PCB design

If you want then let us introduce you to CADSTAR.   The most powerful price/performance solution for PCB design Powerful PCB Design If you want... The most powerful price/performance solution for PCB design Shorter time-to-market for product designs A powerful on-line component library Automatic 3D back annotation

More information

Thermal and EMI Shielding Boost Design Reliability

Thermal and EMI Shielding Boost Design Reliability Thermal and EMI Shielding Boost Design Reliability 05/21/2007 Optimal shielding helps meet the challenges of cramming maximum functionality into minimal PCB space. Paul J. Stus Laird Technologies, St.

More information

IC Compiler Comprehensive Place and Route System

IC Compiler Comprehensive Place and Route System Datasheet IC Compiler Comprehensive Place and Route System Overview IC Compiler is the leading place and route system. A single, convergent, chiplevel physical implementation tool, it includes flat and

More information

Application of Wireless Sensor on Intelligent Monitoring in Logistics Refrigerator Car Transportation

Application of Wireless Sensor on Intelligent Monitoring in Logistics Refrigerator Car Transportation Sensors & Transducers 2013 by IFSA http://www.sensorsportal.com Application of Wireless Sensor on Intelligent Monitoring in Logistics Refrigerator Car Transportation Qi JINPING Lanzhou Jiaotong University,

More information

RecruitPlus. Bringing new wave of Automation to Recruitment process. RecruitPlus Resume Parser. ITCONS e Solutions Pvt. Ltd.

RecruitPlus. Bringing new wave of Automation to Recruitment process. RecruitPlus Resume Parser. ITCONS e Solutions Pvt. Ltd. RecruitPlus Bringing new wave of Automation to Recruitment process RecruitPlus Resume Parser ITCONS e Solutions Pvt. Ltd. An Overview RecruitPlus Resume Parser RecruitPlus Resume Parser Windows Application

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

(RFID) Radio Frequency IDentification System RFID 101. M. I. Suhile Ahamed

(RFID) Radio Frequency IDentification System RFID 101. M. I. Suhile Ahamed Radio Frequency IDentification System (RFID) RFID 101 Technical Whitepaper V1.0.1 M. I. Suhile Ahamed KCP Technologies Limited 2, Dr. P. V. Cherian Crescent, Egmore, Chennai - 600 008, INDIA. Phone: +91

More information

Kun Young Chung Design Technology Team System LSI Samsung Electronics

Kun Young Chung Design Technology Team System LSI Samsung Electronics 2012 Test Technology Workshop (Oct. 31, 2012) IDC-System LSI Business 0 Kun Young Chung Design Technology Team System LSI Samsung Electronics An Overview: Test Challenges in 3D ICs Design (Design-for-Test)

More information

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez

Challenges of Fan-Out WLP and Solution Alternatives John Almiranez Challenges of Fan-Out WLP and Solution Alternatives John Almiranez Advanced Packaging Business Development Asia Introduction to Fan-Out WLP Introduction World of mobile gadgetry continues to rapidly evolve

More information

Huawei Technologies, Inc.

Huawei Technologies, Inc. Huawei Technologies, Inc. Looking for the best talents to build the best products Founded in 1987 as an employee-owned private company with headquarter in Shenzhen, China, Huawei becomes a leading global

More information

Design for MOSIS Educational Program (Research) BBIC #1: T3CW-AH. Low-Power Bioluminescent Bioreporter Integrated Circuit (BBIC) in CMOS Technology

Design for MOSIS Educational Program (Research) BBIC #1: T3CW-AH. Low-Power Bioluminescent Bioreporter Integrated Circuit (BBIC) in CMOS Technology Design for MOSIS Educational Program (Research) BBIC #1: T3CW-AH Project Title Low-Power Bioluminescent Bioreporter Integrated Circuit (BBIC) in CMOS Technology Prepared by: S.C. Terry, N. Islam, Mo Zhang,

More information

Startups Accelerating Going to Production With Altium

Startups Accelerating Going to Production With Altium Startups Accelerating Going to Production With Altium Richard Marshall CEO Xitex Ltd Munich, Germany, October 24-25 th 2017 Startups - Accelerating going to production with Altium Startups Crossing the

More information

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) Steffen Kröhnert, José Campos, Eoin O Toole NANIUM S.A., Vila do Conde, Portugal Outline Short Company Overview NANIUM Introduction

More information

How can we Design and Build the Next Generation of MEMS-Based Products? Presented by Coventor and X-FAB December 6, 2017

How can we Design and Build the Next Generation of MEMS-Based Products? Presented by Coventor and X-FAB December 6, 2017 How can we Design and Build the Next Generation of MEMS-Based Products? Presented by Coventor and X-FAB December 6, 2017 Moderator: Heidi Hoffman, Sr. Director, SEMI-MSIG About MEMS & Sensors Industry

More information

Non-Hermetic Packaging of RF Multi-Chip Modules

Non-Hermetic Packaging of RF Multi-Chip Modules Non-Hermetic Packaging of RF Multi-Chip Modules Matthew Gruber Lockheed Martin MST Moorestown, NJ 1 A Comment about this Presentation In accordance with ITAR restrictions, a few concessions had to be made

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

ASIM-X MEMS-Specific Design Rules

ASIM-X MEMS-Specific Design Rules ASIM-X MEMS-Specific Design Rules Version 2 Revised April 5, 2006. This is a beta version, subject to change. Revised by G. K. Fedder, Carnegie Mellon University. 1 Process Overview ASIM-X, an acronym

More information

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing E.A. (Gene) Fitzgerald M.J. Mori, C.L.Dohrman, K. Chilukuri MIT Cambridge, MA USA Funding: MARCO IFC and Army

More information

CMOS Processing Technology

CMOS Processing Technology CHAPTER 2 CMOS Processing Technology Outline 2 1. CMOS Technologies 2. Layout Design Rules 3. CMOS Process Enhancements 4. Technology-related CAD Issues 5. Manufacturing Issues CMOS Technologies 3 n-well

More information

What s New with the PlantPAx Distributed Control System

What s New with the PlantPAx Distributed Control System What s New with the PlantPAx Distributed Control System Copyright 2016 Rockwell Automation, Inc. All Rights Reserved. 1 PLANT-WIDE Control and Optimization SCALABLE and Modular SECURE Open and Information-enabled

More information

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough Arvind NV, Krishna Panda, Anthony Hill Inc. March 2014 Outline Motivation Uncertainty in SOC Design Leveraging Uncertainty

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Chip and system-level integration technologies for silicon photonics

Chip and system-level integration technologies for silicon photonics Chip and system-level integration technologies for silicon photonics Bert Jan Offrein 5th International Symposium for Optical Interconnect in Data Centres Outline The need for integration at component

More information

System Level Design and Simulation for Heterogeneous Integration

System Level Design and Simulation for Heterogeneous Integration System Level Design and Simulation for Heterogeneous Integration Presented by Bill Bottoms PhD bill_bottoms@3mts.com Electronic Design Process Symposium SEMI, Milpitas, California September 21 22, 2017

More information

Deepukumar M. Nair*, K. M. Nair*, Ken Souders*, Michael Smith*, Mark McCombs*, James Parisi*, Tim Mobley*, and Bradley Thrasher**.

Deepukumar M. Nair*, K. M. Nair*, Ken Souders*, Michael Smith*, Mark McCombs*, James Parisi*, Tim Mobley*, and Bradley Thrasher**. Investigation of Silver Migration Impacts on Microwave Systems Fabricated on LTCC Substrate Under High-Power RF Excitation and High Temperature and Humidity Conditions. Deepukumar M. Nair*, K. M. Nair*,

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Complementary Metal Oxide Semiconductor (CMOS)

Complementary Metal Oxide Semiconductor (CMOS) Technische Universität Graz Institute of Solid State Physics Complementary Metal Oxide Semiconductor (CMOS) Franssila: Chapters 26,28 Technische Universität Graz Institute of Solid State Physics Complementary

More information

Narrowing the Gap between Packaging and System

Narrowing the Gap between Packaging and System Narrowing the Gap between Packaging and System Meptec Symposium 2015 ASE (US) Inc Ou Li Nov 10 th, 2015 Outline Industry Dynamics The Need for System Integrators IC/Pkg/System Collaboration Summary 2 Market

More information

Glue-ware Essential for Streamlined SOC Execution at Emerging Fabless IC Companies

Glue-ware Essential for Streamlined SOC Execution at Emerging Fabless IC Companies Glue-ware Essential for Streamlined SOC Execution at Emerging Fabless IC Companies Rakesh Kumar, Brian Henderson Technology Connexions San Diego, CA rakesh@tcxinc.com, brianh@tcxinc.com Emerging Fabless

More information