2010 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary

Size: px
Start display at page:

Download "2010 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary"

Transcription

1 SIwave 5.0 PI Advisor for Optimized DDR3 Memory Design Presented by Sergey Polstyanko, Ph.D Senior R&D Manager 2010 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary

2 Agenda Design Overview and Challenges How to define Target impedance? PI Advisor Summary 2010 ANSYS, Inc. All rights reserved. 2 ANSYS, Inc. Proprietary

3 Design Challenges New deep sub-micron technologies Increased device speeds Lower power supply voltages Device miniaturization Decreasing design cycles Delta-I noise on whole PDS system 2010 ANSYS, Inc. All rights reserved. 3 ANSYS, Inc. Proprietary

4 A Typical Scenario Customer X has a PCB with possible SI/PI related issues Management is asking for quick fix and cost reduction The Challenge: How to achieve target impedances without compromising SI/PI performance? Decoupling Capacitor Strategy High Volume Production 2010 ANSYS, Inc. All rights reserved. 4 ANSYS, Inc. Proprietary

5 Power Integrity Design PCB Geometry present A functional design, with all decoupling capacitors already placed on PCB PI Engineer may want to: Increase capacitor count in order to make design more robust (i.e. overclocking, etc.) Reduce the capacitor count Reduce number of different capacitor types used Redesign using lower cost capacitors Chose appropriate capacitor location 2010 ANSYS, Inc. All rights reserved. 5 ANSYS, Inc. Proprietary

6 Layout Import and Translation Direct access to Ansoft tools from Cadence environment Allegro layout is directly translated and imported in SIwave Component file is also imported Cadence Allegro/APD Access Ansoft Tools directly from Allegro! 2010 ANSYS, Inc. All rights reserved. 6 ANSYS, Inc. Proprietary

7 ECAD Databases Supported 1. Cadence Allegro and APD 15.0, 15.1, 15.2, 15.5.x, 15.7, 16.0, 16.1, 16.2, and Cadence SiP Digital/RF 15.7, 16.0, 16.1, 16.2, and Mentor BoardStation 8.x 4. Mentor BoardStation XE/RE v and v Mentor Expedition v2004, v2005, v2007.1, v and v Mentor PADS (PowerPCB) v5.2a, v2005 (2007 with 2005 export format) 7. Synopsys Encore ICPD 2001.x, 2002.x, 2003.x, 2004.x and 2005.x 8. Sigrity UPD 9.0 and lower 9. Zuken CR x and lower 10. AltiumDesigner Summer 2010 Beta2 or later 2010 ANSYS, Inc. All rights reserved. 7 ANSYS, Inc. Proprietary

8 PI Design Goal : Target Impedance of PDS High-Speed Digital Device V I Z = V I Power Delivery System The Impedance looking into PDS at the device should be kept low over a broad frequency range (from DC to several harmonics of clock frequency). Mag. of Z Z target Z f VRM 2010 ANSYS, Inc. All rights reserved. 8 ANSYS, Inc. Proprietary

9 Target Impedance Trends Z Target = ( Power _ Supply _ Voltage) ( Allowed _ Ripple) Current ( 2.5V ) ( 5% ) ZTarget(2.5v) = = 3. 1mΩ 40.3A * Target Impedance is falling ~ 1.6x, every 3 years * Source:International Technology Roadmap for Semiconductors 2010 ANSYS, Inc. All rights reserved. 9 ANSYS, Inc. Proprietary

10 Define Target Impedance Target Impedance Get TX/Rx models for DRAM and CPU modules Use Random Signal Input from CPU Get time/frequency domain current profile data CPU pullup POWER DRAM1 IN POWER GND OUT logic_in enable io out_of_in GND pulldown Random Signal Input DRAM2 IN POWER GND OUT data 2010 ANSYS, Inc. All rights reserved. 10 ANSYS, Inc. Proprietary

11 Simulation Schematic Receiver IBIS Model Random Clock Source and Voltage Bias Main Chip Output Buffer (Source = Spectre Netlist) 2010 ANSYS, Inc. All rights reserved. 11 ANSYS, Inc. Proprietary

12 Signal Input Random Clock 2010 ANSYS, Inc. All rights reserved. 12 ANSYS, Inc. Proprietary

13 Signal Output 2010 ANSYS, Inc. All rights reserved. 13 ANSYS, Inc. Proprietary

14 Simulation Results Time domain Current Profile 2010 ANSYS, Inc. All rights reserved. 14 ANSYS, Inc. Proprietary

15 Current Spectrum output Trace type - Continuous 667MHz 2010 ANSYS, Inc. All rights reserved. 15 ANSYS, Inc. Proprietary

16 Adaptive Target Impedance 667MHz 30 1GHz GHz GHz Vcc= 1.2V ± 5% variation Vcc= 0.06 V Adaptive Target Impedance design specifications 2010 ANSYS, Inc. All rights reserved. 16 ANSYS, Inc. Proprietary

17 Or. Adaptive Target Impedance 667MHz 20 1GHz GHz 1 0.5GHz GHz Vcc= 1.2V ± 5% variation Vcc= 0.06 V Adaptive Target Impedance design specifications 2010 ANSYS, Inc. All rights reserved. 17 ANSYS, Inc. Proprietary

18 PCB Layer Structure High-speed signals are routed between CPU and DRAM Minimize noise voltage distribution on the VCC/GND plane pair Design of this Power/Ground plane is the most important aspect of design Low power bus impedance over frequency 3 ports defined 4 Layer PCB Board Size : 5.3 x 6.5 (13.5cm x 16.5cm) CPU Power Plane Ground Plane DRAM2 DRAM1 1.2v GND 2010 ANSYS, Inc. All rights reserved. 18 ANSYS, Inc. Proprietary

19 PCB Layer Structure Layer 1 Layer 3 Layer 2 Layer ANSYS, Inc. All rights reserved. 19 ANSYS, Inc. Proprietary

20 Bare Board Impedance CPU DRAM1 DRAM2 if(freq>0.01ghz,if(freq>0.5ghz,if(freq>0.75ghz,20,2),1),0.04) 2010 ANSYS, Inc. All rights reserved. 20 ANSYS, Inc. Proprietary

21 Original Design All 1.2V to GND 36 Capacitors ON Original Design - Total 36 Caps Types of Capacitors GRM21BC70G106ME45 GRM21BF51A225ZA01 GRM21BF51H224ZA01 GRM21BR71E104KA01 GRM216F51H103ZA01 GRM216F51H224ZA01 GRM1885C1H201JA01 GRM1885C1H820JA ANSYS, Inc. All rights reserved. 21 ANSYS, Inc. Proprietary

22 Original Design All 36 Capacitors Enabled Ansoft LLC Original Design - Total 36 Caps Orig Design 36 Caps On - Z profiles Curve Info Test_Board_Con_PI_DS_90fit Mag(Z(CPU1_+1.2V_Group,CPU1_+1.2V_Group)) Orig 36 Caps Mag(Z(D1_+1.2V_Group,D1_+1.2V_Group)) Orig 36 Caps Mag(Z(D2_+1.2V_Group,D2_+1.2V_Group)) Orig 36 Caps 20 Ω ANSOFT 1 Ω 2 Ω 1.00 Z Mag [Ohm] Ω CPU DRAM1 DRAM OverDesign Freq [GHz] 2010 ANSYS, Inc. All rights reserved. 22 ANSYS, Inc. Proprietary

23 Launch SIwave PI Advisor 2010 ANSYS, Inc. All rights reserved. 23 ANSYS, Inc. Proprietary

24 Step 1 : Define Ports and Target Impedance Profile PI Advisor Select Reference Port Locations Set the VRM Parameters including ESL & ESR Define Impedance Mask Ports 2010 ANSYS, Inc. All rights reserved. 24 ANSYS, Inc. Proprietary

25 Step 2 : Select Capacitors for Automated Optimization PI Advisor Select Capacitor set that needs to be optimized All 36 caps selected 2010 ANSYS, Inc. All rights reserved. 25 ANSYS, Inc. Proprietary

26 Step 3 : Select Candidate Capacitors for Optimization 2010 ANSYS, Inc. All rights reserved. 26 ANSYS, Inc. Proprietary

27 Step 4 : Setup Optimization Criteria PI Advisor Launch PI Advisor Wizard Set Optimizer Goal Parameters Set Thresholds Total price $$$ Total number of capacitors Genetic Algorithm is used for optimization SYZ sweep 2010 ANSYS, Inc. All rights reserved. 27 ANSYS, Inc. Proprietary

28 Step 5 : Launch Optimizer and Analyze Results Time = 2 minutes 56 seconds RAM = 286 MB Frequency Setup 10KHz < f < 1GHz 201 Points/decade Genetic Algorithm Setup Optimized for Impedance Optimized for # of Caps Optimized for Price 2010 ANSYS, Inc. All rights reserved. 28 ANSYS, Inc. Proprietary

29 PI Advisor Step 5 PI Advisor Results PI Advisor provides multiple different Schemes Sort solutions by Price ($), Num Caps and Goodness of Fit You can display Impedance Mask. Etc Apply optimized capacitor scheme to the existing design Export BOM file back to layout database 2010 ANSYS, Inc. All rights reserved. 29 ANSYS, Inc. Proprietary

30 Step 5 : Launch Optimizer and Analyze Results Original solution Total # Caps: 36 Optimized Solution Total # Caps: from 10 to 19 9 Schemes available 6 Capacitor Types: 10 to ANSYS, Inc. All rights reserved. 30 ANSYS, Inc. Proprietary

31 PI Advisor Simulation Results Scheme 8 Capacitors Selection information 11 capacitors and 11 different types 2010 ANSYS, Inc. All rights reserved. 31 ANSYS, Inc. Proprietary

32 PI Advisor Simulation Results Scheme 8 Target impedance information Port Selection 2010 ANSYS, Inc. All rights reserved. 32 ANSYS, Inc. Proprietary

33 Scheme 8 Results All three ports CPU port DRAM1 port DRAM2 port 2010 ANSYS, Inc. All rights reserved. 33 ANSYS, Inc. Proprietary

34 Capacitor Count Reduction Original Design - Total of 36 Caps New Design Total of 11 Caps Capacitor Count 69% Reduction CPU port DRAM1 port DRAM2 port 2010 ANSYS, Inc. All rights reserved. 34 ANSYS, Inc. Proprietary

35 Apply Scheme 8 to Original Design Circuit Element Properties auto defined! 2010 ANSYS, Inc. All rights reserved. 35 ANSYS, Inc. Proprietary

36 Re- Compute S,Y,Z parameters 2010 ANSYS, Inc. All rights reserved. 36 ANSYS, Inc. Proprietary

37 Final Simulation Results scheme8 Z Plot 1 Test_Board_Con_PI_Demo ANSOFT Curve Info Mag(Z(CPU1_+1.2V_Group,CPU1_ scheme8 Mag(Z(D1_+1.2V_Group,D1_+1.2V_ scheme8 Mag(Z(D2_+1.2V_Group,D2_+1.2V_ scheme8 20 Ω Ω 2 Ω Y Ω CPU DRAM1 DRAM Freq [GHz] 2010 ANSYS, Inc. All rights reserved. 37 ANSYS, Inc. Proprietary

38 Loop Inductance Plot Provides intuitive plot to analyze capacitor layout thereby minimizing loop inductance (all 36 caps displayed) 2010 ANSYS, Inc. All rights reserved. 38 ANSYS, Inc. Proprietary

39 Efficient Simulation = Design Productivity * 2005 ITRS: Cost of design is the greatest threat to the continuation of the semiconductor roadmap [and] design productivity is the most massive and critical [design challenge facing the industry today]. * Source: International Technology Roadmap for Semiconductors Delivering productivity: Assume 4 to 5 changes per board Single PI Advisor simulation time ~ 3 min Simulation time is approximately min. Result: Your design team meets project performance requirements on time and, therefore, within budget ANSYS, Inc. All rights reserved. 39 ANSYS, Inc. Proprietary

40 Summary Achieving Adaptive Target Impedance Specifications is critical for overall system performance The advantages of PI Advisor were shown here. Increase capacitor count in order to make design more robust Reduce the capacitor count Reduce number of different capacitor types used Redesign using lower cost capacitors Efficient Power Integrity PCB Capacitor Optimization analysis and What-if... type analysis is utilized using: SIwave DesignerSI 2010 ANSYS, Inc. All rights reserved. 40 ANSYS, Inc. Proprietary

Discontinuity Regions in Package

Discontinuity Regions in Package Discontinuity Regions in Package Channel: bonding wire PKG trace via solder ball PCB trace PKG traces & PCB traces: uniform transmission lines Bonding wires, vias & solder balls: discontinuity regions

More information

SIwave for Power Integrity Analysis. Workshop 2_2: PI Advisor

SIwave for Power Integrity Analysis. Workshop 2_2: PI Advisor SIwave for Power Integrity Analysis Workshop 2_2: PI Advisor 1 2016 ANSYS, Inc. April 5, 2017 Opening or Importing a Project Starting SIwave To launch SIwave, click the Microsoft Start Button > ALL Programs

More information

The Cadence Sigrity Products. Srdjan Djordjevic Senior Sales Technical Leader

The Cadence Sigrity Products. Srdjan Djordjevic Senior Sales Technical Leader The Cadence Sigrity Products Srdjan Djordjevic Senior Sales Technical Leader srdjand@cadence.com 19.11.2012. PowerSI PowerSI is an advanced signal integrity, power integrity and design- stage EMI solution.

More information

How to check complex PCBs for SI/PI/EMC Issues

How to check complex PCBs for SI/PI/EMC Issues 1 Public ETAS/EHS2-Grävinghoff 2015-11-23 ETAS GmbH 2015. All rights reserved, also regarding any disposal, exploitation, Outline Outline Motivation & History EDA Import Rule Setup & EMC/SI/PI Rules Results

More information

DDR4 IBIS Power Integrity Simulation

DDR4 IBIS Power Integrity Simulation DDR4 IBIS Power Integrity Simulation Randy Wolff Micron Technology Lance Wang IO Methodology European IBIS Summit Sorrento, Italy 2010 Micron Technology, Inc. All rights reserved. Products are warranted

More information

BIRD95 and BIRD98 Simulations

BIRD95 and BIRD98 Simulations BIRD95 and BIRD98 Simulations Randy Wolff Micron Technology Lance Wang IO Methodology European IBIS Summit Naples, Italy 2010 Micron Technology, Inc. All rights reserved. Products are warranted only to

More information

VLSI Power Delivery For Core, I/O, and Analog Supplies. Major Electrical Interfaces

VLSI Power Delivery For Core, I/O, and Analog Supplies. Major Electrical Interfaces VLSI Power Delivery For Core, I/O, and Analog Supplies Claude R. Gauthier, Ph.D., Brian W. Amick Sun Microsystems Inc., Major Electrical Interfaces Core Power Delivery Physical and electrical view Parasitic

More information

Signal & Power Integrity Analysis Services for Test boards

Signal & Power Integrity Analysis Services for Test boards Caliber Interconnect Solutions Design for perfection Signal & Power Integrity Analysis Services for Test boards Caliber Interconnect Solutions (Pvt) Ltd No 6,1 st Street Gandhi Nagar, Kavundampalayam,

More information

High Speed Serial Link (HSSL) Channel Modeling. CST workshop series 2010 February 10 1

High Speed Serial Link (HSSL) Channel Modeling.  CST workshop series 2010 February 10 1 High Speed Serial Link (HSSL) Channel Modeling www.cst.com CST workshop series 2010 February 10 1 Outline Introduction HSSL design workflow Creating a HSSL model Simulating a HSSL HSSL modeling example

More information

High Volume Signal and Power Integrity Design for ASICs

High Volume Signal and Power Integrity Design for ASICs High Volume Signal and Power Integrity Design for ASICs Brian Young brian.young@ti.com Agenda Background SI Methodology Outline SI Numerical Example PI Methodology Outline PI Numerical Example Summary

More information

High Speed Serial Data Link Analysis ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary

High Speed Serial Data Link Analysis ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary High Speed Serial Data Link Analysis 2010 ANSYS, Inc. All rights reserved. 1 ANSYS, Inc. Proprietary Agenda High-Speed Signal Data Path Simulation Requirements Ansoft HSSD Analysis Solution 3 Steps to

More information

A VHDL-AMS buffer model using IBIS v3.2 data

A VHDL-AMS buffer model using IBIS v3.2 data A VHDL-AMS buffer model using IBIS v3.2 data IBIS Summit at DAC 2003 Marriott Hotel, Anaheim, CA June 5, 2003 Luca Giacotto Université Joseph Fourier lgiacott@libero.it Arpad Muranyi Signal Integrity Engineering

More information

HIGH-SPEED SYSTEM INTERCONNECT DESIGN

HIGH-SPEED SYSTEM INTERCONNECT DESIGN PACKAGE-BOARD SOLUTIONS OVERVIEW HIGH-SPEED SYSTEM INTERCONNECT DESIGN CADENCE TECHNOLOGIES MEET INDUSTRY S NEED FOR SPEED HIGH-SPEED PACKAGE-BOARD DESIGN TECHNOLOGIES MOVE AT MULTIGIGABIT SPEED Electronics

More information

ANSYS, Inc. ANSYS Icepak R18 Update

ANSYS, Inc. ANSYS Icepak R18 Update 1 2016 ANSYS, Inc. ANSYS Icepak R18 Update Icepak R18 Highlights Enhancements to SIwave Icepak solution Added automated iterative loop Improved Via handling capabilities Individually modeling vias

More information

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution Scott / Graser 16 / Oct / 2015 Agenda Introduction -- Cadence Power Signoff Solution Transistor-Level EMIR Challenges and

More information

Key features in Xpedition Package Integrator include:

Key features in Xpedition Package Integrator include: Introduction Xpedition Package Integrator provides a co-design methodology that automates planning, optimization of connectivity from a chip through multiple packaging variables, while targeting multiple

More information

Xpedition Package Integrator

Xpedition Package Integrator Xpedition Package Integrator Xpedition D A T A S H E E T FEATURES AND BENEFITS: Figure 1: The Xpedition Package Integrator Flow comprises all the tools necessary for efficient package/pcb codesign, for

More information

Design of High Density & 3D Packaging: Tools and Knowledge. Thomas S. Tarter Package Science Services LLC

Design of High Density & 3D Packaging: Tools and Knowledge. Thomas S. Tarter Package Science Services LLC Design of High Density & 3D Packaging: Tools and Knowledge Thomas S. Tarter Package Science Services LLC IEEE/CPMT Technical Luncheon Package Science Services 1 Outline Package Design Flow (the old way)

More information

Design without compromise - PADS Professional

Design without compromise - PADS Professional Design without compromise - PADS Professional Olivier Arnaud Application Engineer Consultant at Mentor Graphics Zagreb, 10. lipnja 2015. Copyright CADCAM Group 2015 2 Copyright CADCAM Group 2015 Three

More information

Graser User Conference Only

Graser User Conference Only 2.5D/3D Design Solution Eric Chen & Scott Liu 31/Oct/2014 Roadmap data is provided for informational purposes only and does not represent a commitment to deliver any of the features or functionality discussed

More information

Narrowing the Gap between Packaging and System

Narrowing the Gap between Packaging and System Narrowing the Gap between Packaging and System Meptec Symposium 2015 ASE (US) Inc Ou Li Nov 10 th, 2015 Outline Industry Dynamics The Need for System Integrators IC/Pkg/System Collaboration Summary 2 Market

More information

NI AWR Design Environment V14

NI AWR Design Environment V14 Product Review NI AWR Design Environment V14 Accelerating RF/Microwave Design From Concept to Product Advancing communication and sensor technologies, driven by applications such as 5G, internet of things

More information

Mobile Device Passive Integration from Wafer Process

Mobile Device Passive Integration from Wafer Process Mobile Device Passive Integration from Wafer Process Kai Liu, YongTaek Lee, HyunTai Kim, and MaPhooPwint Hlaing STATS ChipPAC, Inc. 1711 West Greentree, Suite 117, Tempe, Arizona 85284, USA Tel: 48-222-17

More information

If you want then let us introduce you to CADSTAR. The most powerful price/performance solution for PCB design

If you want then let us introduce you to CADSTAR.   The most powerful price/performance solution for PCB design Powerful PCB Design If you want... The most powerful price/performance solution for PCB design Shorter time-to-market for product designs A powerful on-line component library Automatic 3D back annotation

More information

Altera s Roadmap. Looking Forward Altera Corporation

Altera s Roadmap. Looking Forward Altera Corporation Altera s Roadmap Looking Forward 2004 Altera Corporation Agenda Technology & Process Product Roadmap & Challenges The Design Environment The System Design Decision HardCopy II Structured ASICs 2 2004 Altera

More information

Paradigm Shift in Design Assurance and Reliability Prediction

Paradigm Shift in Design Assurance and Reliability Prediction Paradigm Shift in Design Assurance and Reliability Prediction Ed Dodd, Clayton Bonn, and Craig Hillman DfR Solutions, College Park, MD Yizhak Bot BQR Reliability Engineering, Rishon Lezion, Israel Reliability

More information

ASPICS IPD Companion for ISM Transceiver IC: RF front end part

ASPICS IPD Companion for ISM Transceiver IC: RF front end part IPD Companion for ISM Transceiver IC: RF front end part Rev 1.2 TD Introduction The ASPICS320.606 is an integrated passives device specifically designed for use with the SEMTECH SX1211 868/915MHz ISM/UHF

More information

Startups Accelerating Going to Production With Altium

Startups Accelerating Going to Production With Altium Startups Accelerating Going to Production With Altium Richard Marshall CEO Xitex Ltd Munich, Germany, October 24-25 th 2017 Startups - Accelerating going to production with Altium Startups Crossing the

More information

System IO Planning and Design Feasibility Challenges and Solutions Kevin Rinebold Product Marketing Manager

System IO Planning and Design Feasibility Challenges and Solutions Kevin Rinebold Product Marketing Manager DesignCon 2009 System IO Planning and Design Feasibility Challenges and Solutions Kevin Rinebold Product Marketing Manager rinebold@cadence.com 1 Abstract This paper will briefly examine the current trends

More information

Signal Integrity Analysis Using Statistical Methods

Signal Integrity Analysis Using Statistical Methods Signal Integrity Analysis Using Statistical Methods J u l y 2012 TABLE OF CONTENTS Abstract... 3 Abbreviations... 4 Introduction... 5 Why DOE?... 6 Signal Integrity Flow... 6 Case Study SATA Interface...

More information

Consolidating RF Flow for High-Frequency Product Design By Michael Thompson, Senior Solutions Architect, Cadence

Consolidating RF Flow for High-Frequency Product Design By Michael Thompson, Senior Solutions Architect, Cadence Consolidating RF Flow for High-Frequency Product Design By Michael Thompson, Senior Solutions Architect, Cadence Design flows are currently fragmented due to the use of poorly connected EDA tools for various

More information

Thermo-Mechanical Reliability and the Latest Prediction Tools

Thermo-Mechanical Reliability and the Latest Prediction Tools Thermo-Mechanical Reliability and the Latest Prediction Tools Presented by: Dane Kim, Applications Engineer Oasis Sales, Inc. And Nathan Blattau, PhD DfR Solutions April 24, 2014 9000 Virginia Manor Rd

More information

EDA Technologies Fueling IoT Implementation, Current and Future

EDA Technologies Fueling IoT Implementation, Current and Future EDA Technologies Fueling IoT Implementation, Current and Future Michael Thompson Internet of Things (IoT) Summit, RWW 2018 Anaheim, California January 14, 2018 IoT Standards/Applications 2 Industry Trends

More information

PADS Layout. start smarter. For PADS Standard and PADS Standard Plus OVERVIEW MAJOR BENEFITS:

PADS Layout. start smarter. For PADS Standard and PADS Standard Plus OVERVIEW MAJOR BENEFITS: start smarter D A T A S H E E T PADS Layout For PADS Standard and PADS Standard Plus MAJOR BENEFITS: Easy to learn and use Powerful PCB design technology Proven capabilities Tackles complex design problems

More information

Challenges for Performance Analysis in High-Performance RC

Challenges for Performance Analysis in High-Performance RC Challenges for Performance Analysis in High-Performance RC July 20, 2007 Seth Koehler Ph.D. Student, University of Florida John Curreri Ph.D. Student, University of Florida Dr. Alan D. George Professor

More information

Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs. Norman Chang, VP and Sr. Product Strategist

Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs. Norman Chang, VP and Sr. Product Strategist Challenges for Power, Signal, and Reliability Verification on 3D-IC/Silicon Interposer Designs Norman Chang, VP and Sr. Product Strategist 1 2012 ANSYS, Inc. August 31, 2012 Outline 3D-IC/Silicon Interposer

More information

Anadigm FPAA Solutions Training Class II

Anadigm FPAA Solutions Training Class II Anadigm FPAA Solutions Training Class II AnadigmApex On Line Customer Seminar Series Nov 2016 page 1 Learning goals AnadigmApex FPAA switched capacitor technology AnadigmApex silicon components and architecture

More information

ADVANCED VLSI COURSE IN PHYSICAL DESIGN

ADVANCED VLSI COURSE IN PHYSICAL DESIGN ADVANCED VLSI COURSE IN PHYSICAL DESIGN Course covers all advanced topics as prescribed by industry requirements Address: #11, 1st Floor, JCR Tower, Anantha Ram Reddy Layout, Behind Vinyaka Skoda Showroom,

More information

Overview. Design flow. Back-end process. FPGA design process. Conclusions

Overview. Design flow. Back-end process. FPGA design process. Conclusions ASIC Layout Overview Design flow Back-end process FPGA design process Conclusions 2 ASIC Design flow 3 Source: http://www.ami.ac.uk What is Backend? Physical Design: 1. FloorPlanning : Architect s job

More information

Capacitor Consortium Meeting CU-ICAR January 21, 2009

Capacitor Consortium Meeting CU-ICAR January 21, 2009 Capacitor Consortium Meeting CU-ICAR January 21, 2009 Outline KEMET Background Some New Products Film and Electrolytic Capacitors for Green applications Low Inductance Ta-Polymer For Decoupling Applications

More information

Tantalum and Niobium Technology Roadmap

Tantalum and Niobium Technology Roadmap Tantalum and Technology Roadmap T. Zednicek, B. Vrana AVX Czech Republic s.r.o., Dvorakova 328, 563 01 Lanskroun, Czech Republic Phone: +420 465 358 126, Fax: +420 465 358 128 W. A. Millman, J. Gill AVX

More information

IC PACKAGING: APE & APD

IC PACKAGING: APE & APD DATASHEET IC PACKAGING: APE & APD HIGH-DENSITY IC PACKAGE DESIGN AND ANALYSIS The rapid emergence and widespread adoption of deep-submicron (DSM) and system-on-a-chip (SoC) integrated circuits are placing

More information

Impact of Conductive Polymer Cathode Systems with Tantalum. Capacitors. Ta Ta

Impact of Conductive Polymer Cathode Systems with Tantalum. Capacitors. Ta Ta Capacitor Seminar: Conductive Cathodes in ntalum and Aluminum SMD Capacitors For the past fifteen years, the tantalum capacitor has had enormous improvements in ESR because of the replacement of MnO 2

More information

Integrated Chip Package System Simulation

Integrated Chip Package System Simulation White Paper Integrated Chip Package System Simulation The Complete Electronics Solution from ANSYS and Apache By Aveek Sarkar Vice President for Product Engineering and Support and Lawrence Williams Director

More information

Fairchild Semiconductor Application Note January 2001 Revised September Using BGA Packages

Fairchild Semiconductor Application Note January 2001 Revised September Using BGA Packages Introduction AN-5026 Demanding space and weight requirements of personal computing and portable electronic equipment has led to many innovations in IC packaging. Combining the right interface and logic

More information

Integrated Chip Package System Simulation

Integrated Chip Package System Simulation White Paper Integrated Chip Package System Simulation The Complete Electronics Solution from ANSYS and Apache By Aveek Sarkar Vice President for Product Engineering and Support and Lawrence Williams Director

More information

An Alternative Signoff Approach - IBIS+AMI Models. Skipper Liang Graser Annual User Conference July

An Alternative Signoff Approach - IBIS+AMI Models. Skipper Liang Graser Annual User Conference July An Alternative Signoff Approach - IBIS+AMI Models Skipper Liang Graser Annual User Conference 2016 2016.July Agenda Traditional signoff flow circuit simulation Channel simulation LTI system Channel simulation

More information

PCB DESIGN GUIDE FOR ENGINEERS: PART 2 PLANNING

PCB DESIGN GUIDE FOR ENGINEERS: PART 2 PLANNING The PCB Design Guide for Engineers is a series that serves as a guide for the novice/student, or electronics engineering professional. It presents a description of the methods, stages, and practices in

More information

Valor NPI for Users. Student Workbook

Valor NPI for Users. Student Workbook Student Workbook 2017 Mentor Graphics Corporation All rights reserved. This document contains information that is trade secret and proprietary to Mentor Graphics Corporation or its licensors and is subject

More information

Technical Note. e MMC PCB Design Guide. Introduction. TN-FC-35: e MMC PCB Design Guide. Introduction

Technical Note. e MMC PCB Design Guide. Introduction. TN-FC-35: e MMC PCB Design Guide. Introduction Introduction Technical Note e MMC PCB Design Guide Introduction This document is intended as guide for PCB designers using Micron e MMC devices and will discuss the primary issues affecting design and

More information

ID rd International Congress on Energy Efficiency and Energy Related Materials. Fuel Cell for Standalone Application Using FPGA Based Controller

ID rd International Congress on Energy Efficiency and Energy Related Materials. Fuel Cell for Standalone Application Using FPGA Based Controller 3rd International Congress on Energy Efficiency and Energy Related Materials ID-370 Fuel Cell for Standalone Application Using FPGA Based Controller 1 K.Mahapatra, 2 K.C.Bhuyan 1,2 ECE Department, National

More information

New Low Profile Low ESL Tantalum Multi-anode Capacitor Structure Improves Cost/Performance Ratio

New Low Profile Low ESL Tantalum Multi-anode Capacitor Structure Improves Cost/Performance Ratio New Low Profile Low ESL Tantalum Multi-anode Capacitor Structure Improves Cost/Performance Ratio T.Zedníček, L.Marek, S.Zedníček AVX Czech Republic s.r.o., Dvorakova 328, 563 01 Lanskroun, Czech Republic

More information

Single Phase Grid Connected Photovoltaic System

Single Phase Grid Connected Photovoltaic System Single Phase Grid Connected Photovoltaic System 1 Kavya S A, 2 Komal T, 3 Pooja A, 3 Sahana S N and 4 Mr Sachin Angadi 1 ankalakote.kavya@gmail.com Abstract Due to global environmental concerns, photovoltaic

More information

Digital VLSI Design. Lecture 1: Introduction

Digital VLSI Design. Lecture 1: Introduction Digital VLSI Design Lecture 1: Introduction Semester A, 2018-19 Lecturer: Dr. Adam Teman 20 October 2018 Disclaimer: This course was prepared, in its entirety, by Adam Teman. Many materials were copied

More information

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track

Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track Systems & Technology Group Modeling and Design Challenges for Multi-Core Power Supply Noise Analysis 2009 DAC User Track Ben Mashak, Howard Chen and Bill Hovis {mashak, haowei, hovis}@us.ibm.com Outline

More information

300mA,Ultra-low noise, Small Package Ultra-Fast CMOS LDO Regulator

300mA,Ultra-low noise, Small Package Ultra-Fast CMOS LDO Regulator 300mA,Ultra-low noise, Small Package Ultra-Fast CMOS LDO Regulator General Description The is designed for portable RF and wireless applications with demanding performance and space requirements. The performance

More information

Michael Mirmak, DCPAE IBIS Summit at DesignCon Santa Clara, CA. January 22, 2016

Michael Mirmak, DCPAE IBIS Summit at DesignCon Santa Clara, CA. January 22, 2016 Michael Mirmak, DCPAE (michael.mirmak@intel.com) IBIS Summit at DesignCon 2016 Santa Clara, CA January 22, 2016 Legal Disclaimer Notice: This document contains information on products in the design phase

More information

New Component Technologies Enable More Robust and Reliable Power System Design

New Component Technologies Enable More Robust and Reliable Power System Design New Component Technologies Enable More Robust and Reliable Power System Design APEC2016 Chris Reynolds Technical Marketing Manager AVX Corporation (843) 424 1209 AVX Corporation 2016 http://www.avx.com

More information

Smart Grid System for Water Pumping and Domestic Application Using Arduino Controller

Smart Grid System for Water Pumping and Domestic Application Using Arduino Controller International Journal for Modern Trends in Science and Technology Volume: 03, Issue No: 05, May 2017 ISSN: 2455-3778 http://www.ijmtst.com Smart Grid System for Water Pumping and Domestic R.Priyanka 1

More information

Low Impedance Ta Capacitors to Serve the Needs of the Electronics Industry

Low Impedance Ta Capacitors to Serve the Needs of the Electronics Industry Low Impedance Ta Capacitors to Serve the Needs of the Electronics Industry Randy Hahn, and Jonathan Paulsen KEMET Electronics Corp PO Box 5928 Greenville, SC 29606 Tel: +1 864-963-6300 Fax: +1 864-228-4333

More information

DDR5 is Coming! Are You Ready? Keysight EEsof EDA May, 2017

DDR5 is Coming! Are You Ready? Keysight EEsof EDA May, 2017 is Coming! Are You Ready? EEsof EDA May, 2017 JEDEC Preview in June 2017 will provide double the bandwidth and density over DDR4, Speed will increase from 3.2Gbps to 6.4Gbps Trends & 2 for JESD79-4, page

More information

SETTING UP OF CHARACTERISATION LAB

SETTING UP OF CHARACTERISATION LAB SETTING UP OF CHARACTERISATION LAB Presentation by Contents 1. Objective 2. About Characterization Lab 3. About VLSI EDA Tools 4. List of equipment Characterization Lab 5. List of EDA Tools 6. Estimated

More information

FS310. Technology FTC S310 SINGLE PHASE DC MOTOR DRIVE IC GENERAL DESCRIPTION FEATURES TYPICAL APPLICATION CIRCUIT VCC

FS310. Technology FTC S310 SINGLE PHASE DC MOTOR DRIVE IC GENERAL DESCRIPTION FEATURES TYPICAL APPLICATION CIRCUIT VCC SINGLE PHASE DC MOTOR DRIVE IC GENERAL DESCRIPTION The, a 1-chip composed of hall sensor and output coil drivers, applied to a single-phase DC motor. The high sensitivity of Hall effect sensor is suitable

More information

Agilent 4339B/4349B High Resistance Meters Technical Overview

Agilent 4339B/4349B High Resistance Meters Technical Overview Agilent 4339B/4349B High Resistance Meters Technical Overview Within Budget Without Compromise Introducing the Agilent Technologies 4339B and 4349B High Resistance Meters Used for Making Ultra- High Resistance

More information

Agilent 4339B/4349B High Resistance Meters Technical Overview

Agilent 4339B/4349B High Resistance Meters Technical Overview Agilent 4339B/4349B High Resistance Meters Technical Overview Within Budget Without Compromise Introducing the Agilent Technologies 4339B and 4349B High Resistance Meters Used for Making Ultra- High Resistance

More information

Analog Arts High Performance Instruments Comprehensive Instruction Manual

Analog Arts High Performance Instruments Comprehensive Instruction Manual P a g e 1 Overview Analog Arts Inc. www.analongarts.com Analog Arts High Performance Instruments Comprehensive Instruction Manual Contents P a g e 2 Overview OVERVIEW ------------------------------------------------------------------------------------------------------------12

More information

HM mA,Ultra-low noise, Ultra-Fast CMOS LDO Regulator. General Description

HM mA,Ultra-low noise, Ultra-Fast CMOS LDO Regulator. General Description 600mA,Ultra-low noise, Ultra-Fast CMOS LDO Regulator General Description The HM1136 is designed for portable RF and wireless applications with demanding performance and space requirements. The HM1136 performance

More information

Chapter 14. Designing with FineLine BGA Packages

Chapter 14. Designing with FineLine BGA Packages Chapter 14. Designing with FineLine BGA Packages S53009-1.3 Chapter 14, Designing with FineLine BGA Packages, replaces AN 114: Designing with FineLine BGA Packages. Introduction As programmable logic devices

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Using ALTMEMPHY Megafunction with HardCopy II Structured ASICs

Using ALTMEMPHY Megafunction with HardCopy II Structured ASICs Using ALTMEMPHY Megafunction with HardCopy II Structured ASICs July 2007, v1.0 Application Note 463 Overview Introduction f This application note describes the differences between Stratix II FPGA and HardCopy

More information

Schematic Integrity Analysis

Schematic Integrity Analysis Schematic Integrity Analysis D A T A S H E E T Overview Xpedition schematic integrity analysis enables full inspection of all nets on a schematic using pre-defined checks and an extensive intelligent model

More information

Packaging and Ball Bonding Gold wire makes contact from bonding pads on chip to package Gold wire is formed into ball to make contact Uses an

Packaging and Ball Bonding Gold wire makes contact from bonding pads on chip to package Gold wire is formed into ball to make contact Uses an Packaging and Ball Bonding Gold wire makes contact from bonding pads on chip to package Gold wire is formed into ball to make contact Uses an ultrasonic process & heat Process called "Ball Bonding" Wedge

More information

BEST PRACTICES FOR HIGH SPEED DIGITAL PCB DESIGN JUERGEN FLAMM. CADENCE DESIGN SYSTEMS, INC

BEST PRACTICES FOR HIGH SPEED DIGITAL PCB DESIGN JUERGEN FLAMM. CADENCE DESIGN SYSTEMS, INC BEST PRACTICES FOR HIGH SPEED DIGITAL PCB DESIGN ABSTRACT REFERENCE NUMBER 24 JUERGEN FLAMM CADENCE DESIGN SYSTEMS, INC. +1-818-881-9965 jflamm@cadence.com INTERNATIONAL CADENCE USERGROUP CONFERENCE September

More information

Huawei Technologies, Inc.

Huawei Technologies, Inc. Huawei Technologies, Inc. Looking for the best talents to build the best products Founded in 1987 as an employee-owned private company with headquarter in Shenzhen, China, Huawei becomes a leading global

More information

P/N: RBBPF C67B1U. Halogens Free Product. RBBPF Series 3225(1210)- RoHS Compliance MULTILAYER CERAMIC BAND PASS FILTER

P/N: RBBPF C67B1U. Halogens Free Product. RBBPF Series 3225(1210)- RoHS Compliance MULTILAYER CERAMIC BAND PASS FILTER RBBPF Series 3225(1210)- RoHS Compliance MULTILAYER CERAMIC BAND PASS FILTER Halogens Free Product 1125 ~ 1675 MHz RF Application 50 ohm impedance with DC blocking caps in I/O pins P/N: RBBPF3225180C67B1U

More information

IRP6VRM1. Turnkey Pentium Pro 1 power supply specification

IRP6VRM1. Turnkey Pentium Pro 1 power supply specification Turnkey Pentium Pro 1 power supply specification The new IRP6VRM1 offers the power supply designer a complete turnkey solution for DC/DC converters required to power next-generation microprocessors. A

More information

Reducing Product Time-to-Market based on Shorting the Design Cycle

Reducing Product Time-to-Market based on Shorting the Design Cycle 1 Reducing Product Time-to-Market based on Shorting the Design Cycle Implementation at Elbit systems - Elisra Weinstock Israel - Elisra, Yitzhak Bot - BQR, Uri Tolchin - Elisra Abstract The article introduces

More information

The Universal PCB Design Grid System

The Universal PCB Design Grid System The Universal PCB Design Grid System Tom Hausherr, Valor Computerized Systems Abstract: Mixing PCB Design Layout units will compromise perfection every time. PCB Design perfection starts with building

More information

Specification For LTCC 2-way Power Divider

Specification For LTCC 2-way Power Divider Specification For LTCC 2-way Power Divider Model Name : Customer : Title: Name : APPROVED By Date : Signature : RN2 Technologies co., Ltd. RN2 Technologies co., Ltd. 284-2, Galgot-ri, Jinwe-myeon, Pyeongtaek-si,

More information

Designing With High-Density BGA Packages for Altera Devices. Introduction. Overview of BGA Packages

Designing With High-Density BGA Packages for Altera Devices. Introduction. Overview of BGA Packages Designing With High-Density BGA Packages for Altera Devices December 2007, ver. 5.1 Application Note 114 Introduction As programmable logic devices (PLDs) increase in density and I/O pins, the demand for

More information

Tantalum Wet Electrolytic Capacitor

Tantalum Wet Electrolytic Capacitor INTRODUCTION The structure of a Tantalum Wet Electrolytic Capacitor consists of four main elements: a primary electrode (anode), dielectric, a secondary electrode system (cathode) and a wet (liquid) electrolyte.

More information

New Low Profile Low ESL Multi-Anode Mirror Tantalum Capacitor

New Low Profile Low ESL Multi-Anode Mirror Tantalum Capacitor New Low Profile Low ESL Multi-Anode Mirror Tantalum Capacitor T.Zedníček, L.Marek, S.Zedníček AVX Czech Republic s.r.o., Dvorakova 328, 563 1 Lanskroun, Czech Republic Phone: +42 465 358 126 Fax: +42 465

More information

Design and Management of Energy-Efficient Hybrid Electrical Energy Storage Systems

Design and Management of Energy-Efficient Hybrid Electrical Energy Storage Systems Design and Management of Energy-Efficient Hybrid Electrical Energy Storage Systems Younghyun Kim Naehyuck Chang Design and Management of Energy-Efficient Hybrid Electrical Energy Storage Systems 123 Younghyun

More information

PCB Design Process and Fabrication Challenges

PCB Design Process and Fabrication Challenges PCB Design Process and Fabrication Challenges Nikola Zlatanov* Virtually every electronic product is constructed with one or more printed-circuit boards (PCBs). The PCBs hold the ICs and other components

More information

Aluminum Electrolytic vs. Polymer Two Technologies Various Opportunities

Aluminum Electrolytic vs. Polymer Two Technologies Various Opportunities Aluminum Electrolytic vs. Polymer Two Technologies Various Opportunities By Pierre Lohrber BU Manager Capacitors Wurth Electronics @APEC 2017 1 Agenda Electrical Parameter Technology Comparison Application

More information

Typical Starting Points and Methodologies for Full Custom Analog Integrated Circuits and Micro-Systems Development and Manufacture. By SPG Staff.

Typical Starting Points and Methodologies for Full Custom Analog Integrated Circuits and Micro-Systems Development and Manufacture. By SPG Staff. Typical Starting Points and Methodologies for Full Custom Analog Integrated Circuits and Micro-Systems Development and Manufacture. By SPG Staff. Introduction: The following scenarios are typical, when

More information

Processor. A Brief Introduction Micron Technology, Inc. March 13, 2014

Processor. A Brief Introduction Micron Technology, Inc. March 13, 2014 Micron Automata Processor A Brief Introduction 2013 Micron Technology, Inc. All rights reserved. Products are warranted only to meet Micron s production data sheet specifications. Information, products,

More information

Mechatronics Process Management

Mechatronics Process Management Mechatronics Process Management 29. Juni 2010 Lionel Voillat Mechatronics Process Management Page 2 Agenda - Introduction - Mechatronics Data Model: Single Source of Product Knowledge - Best-in-class Tools

More information

RT mA, Ultra-Low Noise, Ultra-Fast CMOS LDO Regulator. Features. General Description. Applications. Ordering Information RT9193-

RT mA, Ultra-Low Noise, Ultra-Fast CMOS LDO Regulator. Features. General Description. Applications. Ordering Information RT9193- RT9193 3mA, Ultra-Low Noise, Ultra-Fast CMOS LDO Regulator General Description The RT9193 is designed for portable RF and wireless applications with demanding performance and space requirements. The RT9193

More information

Thermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California

Thermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California Thermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California www.gradient-da.com 3/19/2008 2008 Gradient Design Automation 1 Electronic

More information

FTC S276. General Description. Features. Typical Application Circuit

FTC S276. General Description. Features. Typical Application Circuit General Description The FS276, a 1-chip composed of hall sensor and output coil drivers, applied to a 2-phase DC motor. The high sensitivity of Hall effect sensor is suitable for motors from mini-type

More information

On-Die Decoupling Model Improvements for IBIS Power Aware Models

On-Die Decoupling Model Improvements for IBIS Power Aware Models On-Die Decoupling Model Improvements for IBIS Power Aware Models Randy Wolff and Aniello Viscardi Micron Technology Asian IBIS Summit November 14, 2016, Taipei, Taiwan (Previously given at the European

More information

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis DATASHEET YieldManager Customizable yield management for IC manufacturers Overview For semiconductor foundries and IDMs that must maintain high yield for their products and real-time identification of

More information

EUP7913/A. 300mA Low-Noise LDO Without Bypass Capacitor

EUP7913/A. 300mA Low-Noise LDO Without Bypass Capacitor 300mA Low-Noise LDO Without Bypass Capacitor DESCRIPTION The is an efficient CMOS low dropout (LDO) voltage regulator optimized for ultra-low-noise applications. It offers high output accuracy, extremely

More information

MDS Circuit Technology, Inc. Company Profile

MDS Circuit Technology, Inc. Company Profile MDS Circuit Technology, Inc. Company Profile Jan 1 st, 2017 M D SYSTEMS CO.,LTD. -0- Presentation Content PROFILE MILESTONES LOCATION TRAINING SKILL IMPROVEMENT JAPAN ARCHIVE SECURITY POLICY OPERATION

More information

Using Modules in Allegro PCB Editor Design Reuse for Performance 1

Using Modules in Allegro PCB Editor Design Reuse for Performance 1 Using Modules in Allegro PCB Editor Design Reuse for Performance 1 Using Modules in Allegro PCB Editor Design Reuse for Performance Presented at CDNLive! Silicon Valley Session 5.8 September 13, 2006 George

More information

Digital Design Methodology (Revisited)

Digital Design Methodology (Revisited) Digital Design Methodology (Revisited)! Design Methodology " Design Specification " Verification " Synthesis! Technology Options " Full Custom VLSI " Standard Cell ASIC " FPGA CS 150 Spring 2007 - Lec

More information

14. Designing with FineLine BGA Packages

14. Designing with FineLine BGA Packages 14. Designing with FineLine BGA Packages S51014-1.0 Chapter 14, Designing with FineLine BGA Packages, replaces AN 114: Designing with FineLine BGA Packages. Introduction As programmable logic devices (PLDs)

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information