Tutorial on Chemical Mechanical Polishing (CMP)

Size: px
Start display at page:

Download "Tutorial on Chemical Mechanical Polishing (CMP)"

Transcription

1 Tutorial on Chemical Mechanical Polishing (CMP) Ara Intel Corporation 1999 Arizona Board of Regents for The University of Arizona 1

2 Outline of the Tutorial Section A: Overview Generalized schematics of CMP and Post-CMP Clean Current CMP environment Evolution of CMP The CMP Module The CMP Infrastructure Section B: Polishing equipment trends Section C: Polishing process issues Section D: Consumables (pads & slurries) Quality issues Factors affecting productivity Critical pad and slurry parameters 2

3 Outline of the Tutorial Section E: Industry - University Gaps Section F: Environmental Health and Safety (EHS) considerations Section G: Slurry fluid dynamics Section H: Slurry re-use Section I: Post-CMP cleaning 3

4 Section A: Overview 4

5 Schematic Diagram of Chemical Mechanical Polishing Process Downforce Carrier Pad Conditioner Retaining Ring Slurry Pad Polish Platen 5

6 Schematic Diagram of Post-CMP Scrubbing PVA brush wafer Cleaning Fluid 6

7 CMP Environment CMP has become the widely accepted planarization method of choice for < 0.5 micron technologies The overall CMP market is growing at a rate of ~ 50% per year The current momentum in process integration and scaling far exceeds the fundamental understanding of complex interactions among: Equipment Consumables (i.e. slurry, pad, carrier film) Process parameters IC type and density Processes and consumables are formulated to provide optimum performance for a given equipment and IC product set For a 4 metal layer process with STI, ILD and W CMP steps, approximately 20 polishers are needed ( 60% utilization, 20 wafers per hour, 5000 wafer starts per week factory) 7

8 CMP Environment Protection of intellectual property hinders shared learning among IC, equipment and consumables manufacturers, but also provides a technological advantage: Internally developed equipment, precision parts and sub-systems Morimoto & Patterson, US Patent No. 5,104,828 (1992) Breivogel, Blanchard & Prince, US Patent No. 5,216,843 (1993) Breivogel, Louke, Oliver, Yau & Barns, US Patent No. 5,554,064 (1996) Internal slurry formulations licensed to suppliers for exclusive use Customized pads 3rd party modifications of off-the-shelf consumables and equipment 8

9 Evolution of CMP 9

10 Evolution of CMP 10

11 Total Cost Chemical Expenditure per fully Processed Product Wafer (Disposal and Treatments Costs are Included) a - Negotiate Price b - Insert competition c - Reduce disposal volume d - reclaim and re-use a - Negotiate Price b - Insert competition c - Increase pad life via better QC d - Increase pad life via better chemistry 11

12 The CMP Module Product and Test Wafers Filter Measure & Inspect Water Energy Polish In-Situ Measure Re-work Energy Solid Waste Slurry Clean Pad Liquid Waste Carrier Film Measure & Inspect Product and Test Wafers 12

13 Polishing: Rotary (single or multiple heads and platens) Orbital (single or multiple heads and platens) Linear (multiple heads) Cleaning: Mechanical scrubbing (with & without chemistry or megasonics) Wet cleaning (with and without megasonics) Measurement and inspection: Removal Rate Thickness uniformity (wafer-to-wafer, within-die, die-to-die) Defect density Dishing Erosion Plug recess Planarity Surface Roughness The CMP Infrastructure 13

14 The CMP Infrastructure In-situ Measurement: End-point detection Consumables: Pad (polyurethane, impregnated felt, fixed abrasive) Slurry (silica, alumina or ceria abrasives, organic and inorganic additives) Filter (point-of-use or post-slurry-blending) Conditioning (diamonds) Slurry delivery Water delivery Waste treatment: Off-site disposal Recycling Re-use 14

15 Section B: Polishing Equipment Trends, Morimoto and Cadien, CMP-MIC, Santa Clara, CA (1996) 15

16 Equipment Environment In high-volume manufacturing, the balance between high throughput, size and complexity needs to be maintained 16

17 Equipment Environment Development of automated dry-in-dry-out systems that: Improve throughput Reduce footprint Reduce total cost Reduce ergonomic issues Reduce number of people Polish 1 Polish 2 Polish Clean Robot I/O I/O Clean Ability to polish 300-mm wafers In-situ metrology for device wafers with closed-loop control 17

18 Section C: Polishing Process Issues, Morimoto and Cadien, CMP-MIC, Santa Clara, CA (1996) 18

19 Process Issues Within-Wafer Non-Uniformity (WIWNU): Wafer flatness Carrier film, pad & slurry type (discussed earlier) Carrier design Pad conditioning method Platen & carrier speeds Retaining ring design (i.e. extent of pressure discontinuity between wafer edge and retaining ring) Slurry injection scheme Removal rate: Carrier film, pad & slurry type (discussed earlier) Downforce Platen & carrier speeds Defect density: Pad & slurry type Use of secondary platen Post-CMP cleaning method 19

20 Planarity: Pad type Process Issues Circuit density & structure size Extent of ILD removed Downforce, platen speed & carrier speeds Polish Pre Post Planarization Distance (PD) Step Height Ratio (SHR) = Post Step Height / Pre Step Height The goal is to minimize SHR and maximize PD thereby minimizing Within-Die Non-Uniformity (WIDNU) 20

21 Effect of Structure Size & Density on Post Step Height SHR is greater on metal pads compared to isolated narrow lines Areas with lower circuit density polish faster than areas with dense underlying topography Each circuit design will have a different WIDNU due to variations in size and density of interconnects 21

22 Effect of Downforce on Removal Rate & Planarity Increase in downforce (wafer pressure applied to the polishing pad) results in a linear increase in removal rate (i.e. Preston s Equation) Increase in downforce degrades planarity due to pad deformation and subsequent increase in local pressure at the valley regions (i.e. Hook s Law) 22

23 Effect of Platen Speed on Removal Rate & Planarity Increase in platen speed increases removal rate linearly (i.e. Preston s Equation) Increase in platen speed improves planarity At higher speeds the pad contacts mainly the hill regions since it does not have sufficient time to conform to the valley regions 23

24 Effect of Carrier Speed on Wafer Center & Edge Removal Rates Edge Center Platen speed is maintained at 70 RPM Center-to-edge removal rate difference increases with increasing carrier speed Carrier diameter << platen diameter & at low carrier speeds, the linear velocity vector created by the carrier is much smaller than that created by the platen As carrier speeds approach & exceed platen speed, the linear velocity vector created by the carrier becomes significant 24

25 Effect of Pad Hardness on Post Step Height and Planarization Distance Soft Pad Hard Pad Harder pads deform less under pressure thus leading to: - Lower SHR, higher PD, and improved WIDNU (i.e in mm range) - Poorer WIWNU (i.e. in cm range) Harder pads also result in higher removal rates and higher defect densities 25

26 Effect of Pad Compressibility on Electrical Integrity of ILD Kaufman, Proceedings of Spring MRS, CA (1995) 26

27 Section D: CMP Consumables, Sanaulla, and Moinpour, Semicon West Technical Session on CMP, CA (1998) 27

28 CMP Slurries and Pads Areas of Concern Manufacturability Design Total Cost EHS Legal Supplier Availability Quality & Reliability 28

29 Quality Issues Intel Corporation All Chemicals 29

30 Quality Issues Intel Corporation CMP Slurries 70% Abrasive Issues 20% Foreign Matter 10% Other 30

31 Quality Issues Intel Corporation CMP Pads 40% Texture 30% Foreign Matter 20% Adhesive 10% Other 31

32 Impact of Quality Issues The Quality Indicator (QI) QI = (2) [(a) + (2) (b) + (4) (c) + (8) (d) + (16) (e)] e = No. of factory interrupts (i.e. issues resulting in tool or factory downtime, or product loss) d = No. of near misses (i.e. issues requiring extra Intel resources to keep the factory running) c = No. of repeat SCARs b = No. of SCARs (i.e. issues caused by gross supplier negligence) a = No. of issues (i.e. all issues regardless of impact to Intel) SCAR: Supplier Corrective Action Request Note: The Quality Indicator is measured on a quarterly basis for each supplier 32

33 Supplier Comparison CMP Suppliers vs. Photoresist and Wet Chemical Suppliers (Data Collected Since 1Q96) Challenge 33

34 Factors Influencing Productivity Productivity Process Stability & Manufacturability - RR - WIWNU, WTWNU, WIDNU - Defects - Planarity - Pad life - Pad & slurry quality Equipment - Availability - Reliability - Integrated Run Rate Labor - EHS - Ergonomics - Automation 34

35 Tool Integration and Automation Integrated Run Rate R2 CMP#1 Robot Limited Wafers Robot Robot Cleaner Wafers R1 R1 R4 CMP#2 R3 CMP#1 R2 Cleaner Limited Wafers Robot CMP#2 Robot Cleaner Wafers R1 R3 R1 R5 CMP#3 R4 35

36 Polishing Pad Life Frequency of Changing Pads as a Function of Pad Life Changing pads in high-volume manufacturing poses a serious ergonomic issue: Frequency of change Difficulty of change A compromise must be reached between adhesive strength and its effect on the polishing process: Hardness Compressibility Corrosion resistance Use of chemicals to remove adhesive residues Mechanical pad-pullers are becoming a requirement in factories 36

37 Polishing Pad Life Effect of Pad Life on Tool Availability Availability (%) = Scheduled Downtime - Unscheduled Downtime Scheduled Downtime: Tool PM, facilities PM, monitors, tool qualification and consumables changeout Unscheduled Downtime: Out-of-control conditions, repairs 37

38 5000 WSPW 5 oxide polish steps No. of Polishers vs. Tool Availability Effect of Pad Change Duration (Pad Life & Scheduled and Unscheduled Downtime are Fixed) Pad life of 500 (i.e. number of wafers polished before pad change) Pad change duration: Complexity of process qualification on fresh pad (i.e. pad break-in) Other consumable changes (i.e. wafer carrier & pad conditioner) Ergonomics of pad change (i.e. pad size and adhesive strength) 38

39 No. of Polishers vs. Tool Availability Effect of Un-Scheduled Downtime (Pad Life, Pad Change Duration and Scheduled Downtime are Fixed) 39

40 Oxide Polisher Downtime Pareto Chart C+P C = Consumables P = Process T = Tool C+P+T C+P+T C+P 40

41 Oxide Polisher Downtime Pareto Chart average pad life average POU filter life variability in pad and slurry properties (PSD) average filter life variability in slurry properties (PSD) 41

42 Effect of ph and Abrasive Content on ILD Removal Rate Scherber et al., Proceedings of the Symposium on Planarization Technology: CMP, Semicon West (1994) 42

43 Effect of Trace Metals on ILD Polish Performance - All units in ppm - Slurries F & G are identical except for the metal content - Comparable removal rate and uniformity 43

44 Effect of Hydrocarbons on ILD Polish Performance - Slurries H & I are identical except for the hydrocarbon content - Hydrocarbon contained a polar group - Comparable removal rate and uniformity - Majority of defects were scratches 44

45 Abrasive Geometry Aggregate Primary Particle 45

46 Effect of Abrasive Geometry on ILD Polish Performance - Fumed silica abrasive - Constant ph and abrasive content - Comparable defect density and planarity 46

47 Effect of Abrasive Geometry on ILD Removal Rate 47

48 Section E: Industry - University Gaps 48

49 Development of Core Competencies (Industry - University Gaps) 49

50 Development of Core Competencies (Industry - University Gaps) 50

51 Section F: EHS Hierarchy and Considerations, Moinpour and Poliak, Proceedings of VMIC, Santa Clara, CA (1998) 51

52 EHS Hierarchy & Issues Replace > Reduce > Re-use > Recycle > Abate Environmental regulations are growing at an amazing rate: Federal and local initiatives & regulations International initiatives Recycling regulations are extremely complex and require detailed understanding and follow-through Many new materials are not designed with EHS in mind. In many cases, suppliers do not even know the potential EHS impact of these materials To find out late in the process that a material has a serious EHS impact can delay technology introduction or increase cost Most chemical suppliers have committed to ownership from cradleto-grave, but follow-through is poor 52

53 Growth of US Environmental Legislation (Cumulative No. of Environmental Laws) Technology & Environment, Washington DC, National Academy Press, p. 101 (1989) CERFA OPA PPA CAAA EPA GCRA GCPA SPA EPCRA WQA HSWA SARA APA NWPA UORA CERCLA SWDAA EAWA NCPA CWA RCRA SWDA HMTA TSCA CZMA SDWA ODA EQIA CAA MVAPCA NPAA AQA NEPA NESA WA IA WL FMLA FWPCA WRA FWCA WA RHAA FIFRA RA PHSA FCA TGA RHA Year 53

54 EHS in CMP (Level - I Considerations) chemical inputs energy outputs ergonomics EHS energy inputs chemical outputs 54

55 EHS in CMP (Level - II Considerations) chemical blending & delivery system publicly owned treatment works post-polish tool slurry type energy outputs film type chemical inputs pad type post-polish consumable IC type energy inputs IC density ergonomics EHS UPW system wafer size chemical outputs process recipe polish tool wafer starts per week fab location in-fab discharge treatment method 55

56 ph abrasive type abrasive size abrasive shape abr. morphology solids content oxidizer type additive type buffer type base type acid type zeta potential ionic strength viscosity color shelf life pot life dispersability EHS in CMP (Level - III Considerations) film type IC type IC density slurry type pad type UPW system chemical blending & delivery system wafer size polish tool post-polish tool post-polish consumable process recipe wafer starts per week fab location in-fab discharge treatment method publicly owned treatment works chemical outputs chemical inputs energy outputs energy inputs ergonomics 56

57 size material stack thickness texture morphology hardness specific gravity compressibility hole pattern groove pattern adhesive strength life shelf life EHS in CMP (Level - III Considerations) film type IC type IC density slurry type pad type UPW system chemical blending & delivery system wafer size polish tool post-polish tool post-polish consumable process recipe wafer starts per week fab location in-fab discharge treatment method publicly owned treatment works chemical outputs chemical inputs energy outputs energy inputs ergonomics 57

58 automation footprint conditioner endpoint detection water inj. scheme slurry inj. scheme effluent segregation POU filtration flow dynamics re-use compatibility carrier design platen design ring design number of platens rotation scheme vent design parts clean req. PPE req. ease of maint. run rate EHS in CMP (Level - III Considerations) film type IC type IC density slurry type pad type UPW system chemical blending & delivery system post-polish tool polish tool wafer size post-polish consumable process recipe wafer starts per week fab location in-fab discharge treatment method publicly owned treatment works chemical chemical outputs inputs energy outputs energy inputs ergonomics 58

59 water flow rate slurry flow rate chemical flow rate dilution flow overlap automation carrier speed platen speed down-force back-pressure number of platens conditioning recipe EHS in CMP (Level - III Considerations) film type IC type IC density slurry type pad type UPW system chemical blending & delivery system wafer size polish tool post-polish consumable post-polish tool process recipe fab location wafer starts per week in-fab discharge treatment method publicly owned treatment works chemical outputs chemical inputs energy outputs energy inputs ergonomics 59

60 Section G: CMP Fluid Dynamics Coppeta, Roger, Racz, Kaufman &, Pad effects on slurry transport beneath a wafer during polishing, CMP-MIC, Santa Clara (1998) 60

61 Goal: Fluid Dynamics Reduce slurry dispense volume Increase slurry utilization efficiency Entrain a uniform layer of new slurry beneath the wafer Prevent polished material from being re-entrained beneath the wafer Key issues which need to be comprehended: Chemical & mechanical factors which influence polishing Slurry film thickness between wafer and the pad Slurry transport mechanism, and factors that influence slurry transport Slurry injection scheme Slurry flow rate Pad type, conditioning and topography Platen and carrier speed 61

62 Dual-Emission Laser-Induced Fluorescence Camera Laser Glass Wafer Polish Platen Pad Slurry with Fluorescence dye Slurry 62

63 63

64 Slurry Transport Wafer Post Interrogation Region Examining: - Mean slurry age - Residence time - Slurry Gradients (flat pads) - Drag on wafer - Fluid thickness measurements Pad 64

65 Slurry Flow Rate Percent New Slurry Flat Pad Grooved Pad Manufacturer: Rodel Slurry Flow Rate: x cc/min Wafer Down Force: 4 psi Platen Speed: 60 rpm X-Y Groove Depth: 20 mils Time (sec) 65

66 Platen Speed Percent New Slurry Flat Pad Grooved Pad Manufacturer: Freudenberg Slurry Flow Rate: 35 cc/min Wafer Down Force: 4 psi Platen Speed: x rpm X-Y Groove Depth: 20 mils Time (sec) 66

67 Static Case Pad deformation: (4 psi, 0 rpm) Image of a single pad Thickness profile as determined by ratiometric technique 67

68 Section H: Slurry Reuse Kodama, A reclaim use of CMP slurry, 29th Symposium on ULSI Ultra Clean Technology, Tokyo, Japan (1996) 68

69 Slurry Re-Use Experimental Setup Secondary Platen Primary Platen Pump & Filter Slurry Capture Tub Spent Slurry Reservoir 69

70 RR & WIWNU vs. Slurry Reclaim fumed 50 / 200 nm colloidal 102 / 212 nm 70

71 Surface Roughness & ph vs. Slurry Reclaim fumed 50 / 200 nm colloidal 102 / 212 nm 71

72 Mean Aggregate Size vs. Slurry Reclaim fumed 50 / 200 nm colloidal 102 / 212 nm 72

73 Section I: Post-CMP Cleaning Moinpour & Burke, Keynote Address, CMP-MIC, Santa Clara (1998) Jankovsky, 3rd CMP Workshop, Lake Placid, NY (1998) Busnaina, 3rd CMP Workshop, Lake Placid, NY (1998) 73

74 Post-CMP Clean Defects & Contamination: Abrasive particle residues (i.e. silica, alumina or ceria) Chemicals on surface (i.e. surfactants, or slurry additives) Alkali metal contaminants (i.e. K or Na) Heavy metals (i.e. Fe) Pad residues Pad conditioner (i.e. diamond) residues Requirements: Quick and repeatable Cause do damage to devices or films (i.e. change roughness or planarity) No residue or redeposition Low cost of ownership (COO) Environment: Mechanical scrubbing (with & without chemistry or megasonics) Wet cleaning (with and without megasonics) 74

75 Step - I Reduce defects during the CMP process: Use slurry additives Step - II Reduce defects further by performing an additional buffing process: Use chemicals on the secondary platen Step - III Reduce defects even further during the post- CMP cleaning process: Post-CMP Clean (Defect Reduction Strategies) Use chemicals in the post- CMP cleaning tool 75

76 Post-CMP Clean (A Sampling of Chemicals or Methods Cited in the Literature) 76

77 Post-CMP Clean (Process Improvement) 0.35 um, 200mm technology Effect of post ILD CMP clean chemistry on end-of-line yield Process 1 and Process 2 are identical polish processes Process 2 uses a different Post-CMP Clean chemistry Improved consumable lifetime No impact on overall run rate 77

78 Particles in liquids: Cleaning Theory Primary cause of adhesion is van der Walls forces (DLVO Theory) Secondary cause of adhesion is Electric Double Layer (EDL) forces (however, they are usually repulsive and can help in particle removal) Particles in solution become charged Stern Layer + Diffuse Layer = EDL Potential at shear plane = Zeta Potential EDL thickness varies as inverse square root of the ionic strength (i.e. 4X increase in ionic strength will reduce EDL thickness by 2X) EDL and the Zeta Potential are a function of ph 78

79 Cleaning Theory ELECTRIC DOUBLE LAYER 79

80 Cleaning Theory DLVO THEORY 80

81 Post-CMP Cleaning 81

82 Brush Cleaning Advantages: Most common cleaning methodology Double-side and edge cleaning capability High energy scrub capability The contact mechanism can help clean wafers with topography Simple integration with dryin-dry-out processing Compatible with wet chemistry Compatible with the recent advances in smartbrushes (zeta-potential engineering) Disadvantages: Contact with wafers may be harmful Brush loading with particle and re-deposition Low throughput High COO (chemicals, DI water, consumables parts) Static build-up which may increase particle adhesion forces Tough for brushes to contact high aspect ratio topography Brush shedding Brush break-in required 82

83 Wet Chemical Cleaning Advantages: More chemically intensive compared to brush cleaning Residues and foreign matter can be readily dissolved and removed from the surface Ability to manipulate zeta potential to remove particles Low COO High throughput Controlled cavitation (formation of gas bubbles by ultrasound) and acoustic streaming (steady flow induced by sound field) can be used to detach and remove particles from the surface Formation of acoustic boundary layer Disadvantages: Particle saturation in the recirculating tank Difficult to integrate with dry-in-dry-out processing Cleaning process must be tailored to each device layer and material Uncontrolled cavitation may cause wafer surface damage 83

CMP Pump Effects on Filter Life

CMP Pump Effects on Filter Life CMP Pump Effects on Filter Life Rakesh K. Singh, Ph.D., P.E. Mykrolis Corporation Mykrolis Corporation, Rakesh K. Singh 1 Acknowledgments Slurry manufacturers for providing CMP slurry and abrasive dispersion

More information

Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications

Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications Budge Johl, DOW Electronic Materials 2011 Levitronix Users Group Conference May 11, 2011 Introduction As device line widths

More information

Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution

Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution Budge Johl and Hethel Porter 2008 4 th Annual Levitronix CMPUG Symposium Rohm and Haas Electronic Materials

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP Yuzhuo Li Center for Advanced Materials Processing Department of Chemistry Clarkson University Potsdam, NY 13699

More information

NCAVS CMPUG Meeting July 16, 2009

NCAVS CMPUG Meeting July 16, 2009 CMP OPTIMIZATION AND CONTROL THROUGH REAL-TIME ANALYSIS OF PROCESS EFFLUENTS S.J. Benner and D.W Peters Allentown, PA 18106 610-395-7840 dwpeters@confluense.com www.confluense.com Outline Introduction

More information

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance Thomas H. Osterheld, Steve Zuniga, Sidney Huey, Peter McKeever, Chad Garretson, Ben Bonner, Doyle Bennett,

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC

Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC Technological Breakthrough in Pad Life Improvement and its Impact on CMP CoC Sidney Huey, Steven T. Mear, Yuchun Wang, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054

More information

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Copper CMP Process Characterization Wafers SKW Associates, Inc. Evaluation of Copper CMP Process Characterization Wafers SKW6-3 & SKW6-5 SooKap Hahn Jan 15, 2005 Polish Proposal 1 Planned Polishing: Week of Dec 20 th Customer: SKW Associates Inc.

More information

Evolution and Revolution of Cerium Oxide Slurries in CMP

Evolution and Revolution of Cerium Oxide Slurries in CMP Evolution and Revolution of Cerium Oxide Slurries in CMP David Merricks, Brian Santora, Bob Her, Craig Zedwick Ferro Electronic Material Systems 1789 Transelco Drive Penn Yan, NY 14527, USA merricksd@ferro.com

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing Christopher Eric Brannon, Jimmy Carter (TI DMOS5 CMP Manufacturing Engineering) Texas Instruments, Semiconductor

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device 1JI CHUL YANG, 2Hong Jin Kim, 2Venu. Govindarajulu,1Dinesh Koli and 2Jason Mazzotti Jichul.yang@globalfoundries.com 1 CMP,

More information

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization Jesse Cornely 1, Chris Rogers 1, Vincent P. Manno 1 and Ara Philipossian 2 1 Tufts University, Department of Mechanical Engineering

More information

1.1 Background Cu Dual Damascene Process and Cu-CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP Chapter I Introduction 1.1 Background 1.1.1 Cu Dual Damascene Process and Cu-CMP In semiconductor manufacturing, we always directed toward adding device speed and circuit function. Traditionally, we focused

More information

Betapure CMP Series. CMP Filter Capsules. Superior Reduction Of Large Particle Counts For Improved Yields. Features & Benefits.

Betapure CMP Series. CMP Filter Capsules. Superior Reduction Of Large Particle Counts For Improved Yields. Features & Benefits. Betapure Series Filter Capsules Features & Benefits Graded Porosity Design Superior reduction of hard and soft gel contaminants, for reduced defectivity and improved yields High contaminant holding capacity

More information

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP John Gagliardi, Richard Webb, Chris Rueb - 3M Corporation Greg Menk, Pete McReynolds, Gopal Prabhu, Tom Osterheld - Applied

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities

Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities Development of a New Tungsten Pad Utilizing Outsource CMP Capabilities by Robert L. Rhoades; Entrepix, Inc., John Bare, Anthony J. Clark, and Ed Atkinson; psiloquest, Inc. Presented to CMP-MIC 2005 Summary

More information

Advanced Process Control in Megasonic-Enhanced Pre-Bonding Cleaning

Advanced Process Control in Megasonic-Enhanced Pre-Bonding Cleaning Advanced Process Control in Megasonic-Enhanced Pre-Bonding Cleaning D. Dussault a, F. Fournel b, and V. Dragoi c a ProSys Inc., 1745 Dell Av., Campbell, CA 95008, USA b CEA, LETI, MINATEC, F38054 Grenoble,

More information

AVS CMP Users Group Meeting

AVS CMP Users Group Meeting AVS CMP Users Group Meeting High Selectivity Ceria Slurry for Next Generation STI CMP Processes Nate D. Urban 4/07/2016 Outline Introduction to Ferro Shallow trench isolation (STI) Silicon nitride passivation

More information

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES Andrey Zagrebelny, Sony Varghese, Andrew Carswell 1 INTRODUCTION ITRS roadmap: Moore s Law vs. 3D/vertical architectures New

More information

Benefits and Limitations of Slurry Particle Analysis and the Need for Next Generation Capabilities. Budge Johl

Benefits and Limitations of Slurry Particle Analysis and the Need for Next Generation Capabilities. Budge Johl Benefits and Limitations of Slurry Particle Analysis and the Need for Next Generation Capabilities Budge Johl 2009 5 th Annual Levitronix CMPUG Symposium Particle Analyzers Particle size analysis can play

More information

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER APPLICATION NOTE DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER Authors: Rakesh K. Singh, Andrew Galpin, Christopher Vroman Abstract Characteristics of a revolutionary new design

More information

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC)

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC) Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC) Presentation for the Levitronix Conference February 1,

More information

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project Feature-level Compensation & Control CMP September 15, 2005 A UC Discovery Project Chemical Mechanical Planarization - Faculty Team Mechanical Phenomena David A. Dornfeld Mechanical Engineering UCB Fiona

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

POLI- 500, POLI-762 Quick Overview

POLI- 500, POLI-762 Quick Overview POLI- 500, POLI-762 Quick Overview G&P Technology Overview of POLI-500 for 4 ~ 8 Application 4-8 Wafer CMP Equipment - Head, Table : 30 ~ 200 rpm, Rotational motion - Head oscillation : ± 20mm - Size :

More information

CMP s Transition to 450mm Manufacturing: Engineering Consumables to Meet Process and Efficiency Targets

CMP s Transition to 450mm Manufacturing: Engineering Consumables to Meet Process and Efficiency Targets CMP s Transition to 450mm Manufacturing: Engineering Consumables to Meet Process and Efficiency Targets Christopher Borst College of Nanoscale Science and Engineering Albany, NY 450mm Wafer / Technology

More information

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005 Slurry Handling, Troubleshooting and Filtration Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005 Key CMP Issues & Their Causes Three key CMP issues encountered in field: High defects/microscatches

More information

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A.

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. APPLICATION NOTE FILTRATION CHARACTERISTICS OF CMP SLURRIES Author: Rakesh K. Singh, Ph.D., P.E., M.B.A. Abstract Filters for current and next-generation CMP slurries must now exhibit high retention of

More information

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. APPLICATION NOTE CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J. Yang, Sherly Lee Abstract Chemical mechanical planarization (CMP) slurries contain

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc. New Applications of CMP for Non-Traditional Semiconductor Manufacturing Robert L. Rhoades, Ph.D. Entrepix, Inc. Outline Introduction New Applications of CMP MEMS Non-CMOS Devices New Materials Epitaxial

More information

Particle Characterization of Abrasives

Particle Characterization of Abrasives Particle Characterization of Abrasives Mark Bumiller mark.bumiller@horiba.com Factors Affecting Abrasion Mechanics Difference in hardness between the two substances: a much harder abrasive will cut faster

More information

EFFECTS OF SHEAR AND CAVITATION ON PARTICLE AGGLOMERATION DURING HANDLING OF CMP SLURRIES CONTAINING SILICA, ALUMINA, AND CERIA PARTICLES

EFFECTS OF SHEAR AND CAVITATION ON PARTICLE AGGLOMERATION DURING HANDLING OF CMP SLURRIES CONTAINING SILICA, ALUMINA, AND CERIA PARTICLES EFFECTS OF SHEAR AND CAVITATION ON PARTICLE AGGLOMERATION DURING HANDLING OF CMP SLURRIES CONTAINING SILICA, ALUMINA, AND CERIA PARTICLES Mark R. Litchy and Donald C. Grant CT Associates, Inc. Reto Schoeb

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING Laurent Vésier, Senior Quality Engineer, Rohm and Haas Electronic Materials CMP Technologies, Newark, DE Jason Lawhorn, Product Engineering

More information

Proper Filtration Removes Large Particles from Copper CMP Slurries

Proper Filtration Removes Large Particles from Copper CMP Slurries Proper Filtration Removes Large Particles from Copper CMP Slurries Mike H.-S. Tseng*, Karen Carter*, Joel Marchese*, Mike Parakilas*, Quamrul Arefeen, Thomas B. Hackett, and Steve Hymes 3M Purification

More information

Susceptibility of Different Slurry Types to Agglomeration

Susceptibility of Different Slurry Types to Agglomeration Susceptibility of Different Slurry Types to Agglomeration Mark Litchy 1, Don Grant 1, and Reto Schoeb 2 1 CT Associates, Inc. 2 Levitronix GmbH Levitronix CMP Users Conference 29 February 1, 29 Slide 1

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Mark Litchy Gary VanSchooneveld CT Associates, Inc. Juergen Hahn Levitronix GmbH. Levitronix Ultrapure User Conference 2013 April 11, 2013

Mark Litchy Gary VanSchooneveld CT Associates, Inc. Juergen Hahn Levitronix GmbH. Levitronix Ultrapure User Conference 2013 April 11, 2013 Evaluation of Particle Shedding and Trace Metal Extraction from Centrifugal Pumps Mark Litchy Gary VanSchooneveld CT Associates, Inc. Juergen Hahn Levitronix GmbH Levitronix Ultrapure User Conference 2013

More information

Chemical Mechanical Planarization (CMP) Slurry Manufacturing

Chemical Mechanical Planarization (CMP) Slurry Manufacturing Customer Application Brief Chemical Mechanical Planarization (CMP) Slurry Manufacturing Introduction The Chemical Mechanical Planarization (CMP) process plays a key role in the manufacture of data storage,

More information

Integration Issues with Cu CMP

Integration Issues with Cu CMP Integration Issues with Cu CMP Copper CMP Integrated Solutions Michael R. Oliver Rodel, Inc. December 3, 2003 Outline Dual Damascene Approach Requirements Impact of Cu Deposition Topography Issues Sensitivity

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

CMP challenges in sub-14nm FinFET and RMG technologies

CMP challenges in sub-14nm FinFET and RMG technologies CMP challenges in sub-14nm FinFET and RMG technologies Tae Hoon Lee*, Hong Jin Kim, Venugopal Govindarajulu, Gerett Yocum & Jason Mazzotti Advanced Module Engineering NCCAVS CMPUG Spring Meeting 2016 Contents

More information

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING Souvik Banerjee, Andrea Via and Harlan F. Chung EcoSnow Systems Inc. Livermore, CA Robert Small and

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

Dispersion Number Studies in CMP of Interlayer Dielectric Films

Dispersion Number Studies in CMP of Interlayer Dielectric Films G854 0013-4651/2003/150 12 /G854/7/$7.00 The Electrochemical Society, Inc. Dispersion Number Studies in CMP of Interlayer Dielectric Films Ara Philipossian*,z and Erin Mitchell Department of Chemical and

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

In Situ Investigation of Slurry Flow Fields during CMP

In Situ Investigation of Slurry Flow Fields during CMP H908 0013-4651/2009/156 12 /H908/5/$25.00 The Electrochemical Society In Situ Investigation of Slurry Flow Fields during CMP N. Mueller, a C. Rogers, a V. P. Manno, a R. White, a,z and M. Moinpour b a

More information

Mott All-Metal Catalyst Recovery Systems High-strength, high-efficiency filtration of particulate

Mott All-Metal Catalyst Recovery Systems High-strength, high-efficiency filtration of particulate Process Filtration News Processes that involve precious metal catalysts require reliable, high-efficiency filtration to ensure their complete recovery. But avoiding catalyst loss isn't enough. For the

More information

Test Patterns for Chemical Mechanical Polish Characterization

Test Patterns for Chemical Mechanical Polish Characterization Dobek S: CMP Characterization 15th Annual Microelectronic Engineering Conference, 1997 Test Patterns for Chemical Mechanical Polish Characterization Stanley 3. Dobek Senior Microelectronic Engineering

More information

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 CMP Process Development Techniques for New Materials Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008 Outline Background and Industry Drivers Generalized Development Sequence CMP

More information

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Post CMP Cleaning Austin 2017 Ratanak Yim (Viorel Balan) R. Yim 1,2,5, C. Perrot 2, V. Balan 1, P-Y. Friot 3, B. Qian 3, N. Chiou

More information

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT 1 Seminar Title: Past, present, and future of Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley 2 Overview Outline History and Future Development (courtesy of Ken Cadien,

More information

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA]

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA] Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance Ara Philipossian [Araca Incorporated, Tucson AZ USA] Problem Statement Slurries are expensive and reducing their flow

More information

CMP Process Development for Shallow Trench Isolation (STI)

CMP Process Development for Shallow Trench Isolation (STI) CMP Process Development for Shallow Trench Isolation (STI) Robert A. Seifridge Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract Tool characterization and optimization

More information

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017

IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017 IEOR 130 Methods of Manufacturing Improvement Practice Examination Problems Part I of Course Prof. Leachman Fall, 2017 1. The thickness of a film deposited on wafers at a particular process step is subject

More information

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing CMP Users Meeting April 12 th 2013 D.JEANJEAN Damien JEANJEAN Olivier ROBIN Sebastien GAILLARD David GALPIN Grégoire

More information

Analysis of Large Pad Surface Contact Area in Copper CMP

Analysis of Large Pad Surface Contact Area in Copper CMP Analysis of Large Pad Surface Contact Area in Copper CMP X. Liao 1, Y. Zhuang 1,2, L. Borucki 2, Y. Sampurno 1,2 and A. Philipossian 1,2 1 University of Arizona, Tucson AZ USA 2 Araca Incorporated, Tucson

More information

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 New Applications for CMP: Solving the Technical and Business Challenges Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009 Outline Background and Business Climate for CMP Technical Approach

More information

5/3/2010. CMP UG

5/3/2010. CMP UG About the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Defectivity is one of the major factors affecting CMP performance (&FAB yield), and scratching is its most troubling component.

More information

Advanced pad conditioner design for Oxide/Metal CMP

Advanced pad conditioner design for Oxide/Metal CMP Advanced pad conditioner design for Oxide/Metal CMP Taewook Hwang*, Gary Baldoni, Anand Tanikella, Thomas Puthanangady Saint-Gobain High Performance Materials 9 Goddard Road, Northboro, MA 153, USA E-mail

More information

Challenges of Large Particle Size Analysis in CMP Slurries, and Opportunities for Improved Reliability

Challenges of Large Particle Size Analysis in CMP Slurries, and Opportunities for Improved Reliability Challenges of Large Particle Size Analysis in CMP Slurries, and Opportunities for Improved Reliability Budge Johl 29 th European CMP Users Symposium Spring 2013, Zurich, Switzerland Outline Background

More information

Simple Cubic Crystal

Simple Cubic Crystal Starting Material Simple Cubic Crystal Crystal Planes offset by d/4 Diamond lattice cell (C, Si, Ge, etc.) face atom in FCC corner atom in FCC (100) plane (110) plane (111) plane Crystal Planes/Direction

More information

2009 Market Slurries and Particles in CMP & a Bit Beyond

2009 Market Slurries and Particles in CMP & a Bit Beyond 2009 Market Slurries and Particles in CMP & a Bit Beyond NCCAVS CMPUG Semicon W Meeting Karey Holland, Ph.D. July 14, 2009 Techcet Group, LLC. KHolland@Techcet.com www.techcet.com Slurries & Particles

More information

Effect of temperature on copper chemical mechanical planarization

Effect of temperature on copper chemical mechanical planarization University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2007 Effect of temperature on copper chemical mechanical planarization Veera Raghava R Kakireddy University

More information

pumps. These large particles can scratch metal and inter-level dielectrics potentially causing

pumps. These large particles can scratch metal and inter-level dielectrics potentially causing etapure Series Filter Cartridges Superior Reduction Of Large Particle Counts For Improved Yields etapure filter cartridges are high capacity depth filters optimized for oxide and metal slurries used in

More information

The Impact of Spare Parts. Performance

The Impact of Spare Parts. Performance The Impact of Spare Parts Design and Manufacturing on ACQUITY UPLC System Performance High quality spare parts ensure that your ACQUITY UPLC System runs optimally, protecting your investment in state-of-the-art

More information

Equipment Selection Considerations Key to Low Standoff Cleaning. Presented by Steve Stach

Equipment Selection Considerations Key to Low Standoff Cleaning. Presented by Steve Stach Equipment Selection Considerations Key to Low Standoff Cleaning Presented by Steve Stach Equipment Selection Considerations Presentation Agenda 1. Fluid Flow Theory 2. The Problems 1. Existing Limitations

More information

Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes

Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes purify protect transport Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes 2010 International Workshop on EUV Lithography June 22-24, 2010 Annie Xia, Sr. Applications

More information

Reclamation of Water and Treatment of Hazardous Materials in Silicon Processing

Reclamation of Water and Treatment of Hazardous Materials in Silicon Processing Reclamation of Water and Treatment of Hazardous Materials in Silicon Processing by Viven Krygier, Ph.D. Rolf Berndt, Prof. Dr.-Ing. Pall Microelectronics May 2009; SESHA Arizona 1 Contents: 1. 1. Motivation

More information

CMP Defects and Evolution of PCMP Cleans

CMP Defects and Evolution of PCMP Cleans CMP Defects and Evolution of PCMP Cleans March 27, 2017 Iqbal Ali iali@linx-consulting.com (408)839-9924 SPCC & PCMP Conference, Austin, TX Agenda 1. Introduction to Linx Consulting 2. Where Have We Been

More information

Page 1 of 8

More information

Process Control and Yield Management Strategies in HBLED Manufacturing

Process Control and Yield Management Strategies in HBLED Manufacturing Process Control and Yield Management Strategies in HBLED Manufacturing Srini Vedula, Mike VondenHoff, Tom Pierson, Kris Raghavan KLA-Tencor Corporation With the explosive growth in HBLED applications including

More information

CATALYST FILTRATION for the Chemical Processing Industry using Mott LSI Backwash Filter Media

CATALYST FILTRATION for the Chemical Processing Industry using Mott LSI Backwash Filter Media TECHNICAL BULLETIN CATALYST FILTRATION for the Chemical Processing Industry using Mott LSI Backwash Filter Media By Louise Stange, Research & Applications Specialist, Mott Corporation CATALYST FILTRATION

More information

AUTOMATED CO2 COMPOSITE SPRAY CLEANING SYSTEM FOR HDD REWORK PARTS

AUTOMATED CO2 COMPOSITE SPRAY CLEANING SYSTEM FOR HDD REWORK PARTS AUTOMATED CO2 COMPOSITE SPRAY CLEANING SYSTEM FOR HDD REWORK PARTS D.P. Jackson Cool Clean Technologies, Inc. PurCO2 Cleaning Products Division IDEMA ASIA PACIFIC 2007 CONFERENCE Introduction CO 2 spray

More information

Fabrication and application of high quality diamond coated. CMP pad conditioners

Fabrication and application of high quality diamond coated. CMP pad conditioners Fabrication and application of high quality diamond coated CMP pad conditioners Hua Wang 1,a, Fanghong Sun 1,b* 1 School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai, 200240, China

More information

Particle Agglomeration Mechanisms in CMP Slurries

Particle Agglomeration Mechanisms in CMP Slurries Particle Agglomeration Mechanisms in CMP Slurries Mark Litchy and Don Grant CT Associates, Inc. February 16, 2006 Slide 1 Introduction Some CMP slurries are said to be shear-sensitive, implying that if

More information

CMP Solutions for the Integration of High-K Metal Gate Technologies

CMP Solutions for the Integration of High-K Metal Gate Technologies CMP Solutions for the Integration of High-K Metal Gate Technologies J. M.. Dysard, V. Brusic, P. Feeney, S. Grumbine, K. Moeggenborg, G. Whitener, W. J. Ward, G. Burns, and K. Choi Cabot Microelectronics

More information

Keywords: Chemical Mechanical Planarization (CMP), DELIF, contact, shear forces, COF, MRR

Keywords: Chemical Mechanical Planarization (CMP), DELIF, contact, shear forces, COF, MRR In Situ Characterization of the Mechanical Aspects of CMP Robert White 1,a, James Vlahakis 1, Caprice Gray 1, Vincent Manno 1, Nicole Braun 1, Douglas Gauthier 1, Andrew Mueller 1, Chris Rogers 1 and Mansour

More information

The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper

The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper H36 0013-4651/2006/154 1 /H36/5/$20.00 The Electrochemical Society The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper Yi-Koan Hong, Ja-Hyung

More information

DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP

DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP DYNAMIC, IN-SITU PRESSURE MEASUREMENTS DURING CMP A Thesis Presented to The Academic Faculty By Andrés Osorno In Partial Fulfillment Of the Requirements for the Degree Master of Science in Mechanical Engineering

More information

Improving Material-Specific Dispense Processes for Low Defect Coatings

Improving Material-Specific Dispense Processes for Low Defect Coatings Improving Material-Specific Dispense Processes for Low Defect Coatings Brian Smith* a, Raul Ramirez b, Jennifer Braggin b, Aiwen Wu b, Karl Anderson, John Berron a, Nick Brakensiek a and Carlton Washburn

More information

Process Improvement Projects May 2006 Dr. Lynn Fuller

Process Improvement Projects May 2006 Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Process Improvement Projects May 2006 Dr. Lynn Fuller 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP 1 TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP Fiona M. Doyle and Shantanu Tripathi* University of California at Berkeley Department of Materials Science and Engineering 210 Hearst Mining Building

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

CMP Applications and Issues Part 3

CMP Applications and Issues Part 3 CMP Applications and Issues Part 3 By Christopher Henderson This is the third and final part in a series on Chemical Mechanical Polishing (CMP) applications and issues. An important aspect of CMP is end

More information

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park Post CMP Cleaning Conference @ SPCC2017 March 27, 2017 Jin-Goo Park Challenges in surface preparation Research trend in cleaning technology Lesson learned from current cleaning technology Challenges in

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Pall Aria System Rescues Bottled Spring Water Producer from Plant Closure

Pall Aria System Rescues Bottled Spring Water Producer from Plant Closure Application Bulletin Pall Aria System Rescues Bottled Spring Water Producer from Plant Closure Overview Spring water is a valuable natural resource, which requires good purification treatment before appearing

More information