Recent developments and applications of plasma immersion ion implantation

Size: px
Start display at page:

Download "Recent developments and applications of plasma immersion ion implantation"

Transcription

1 Recent developments and applications of plasma immersion ion implantation Paul K. Chu a) Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong Received 30 June 2003; accepted 20 October 2003; published 3 February 2004 Plasma immersion ion implantation PIII is an established technique in some niche microelectronics applications, such as synthesis of silicon on insulator. In other applications, such as shallow junction formation by plasma doping, trench doping, and others, PIII possesses unique advantages over conventional techniques. In the last few years, there have been significant breakthroughs in these areas. Recent developments in plasma doping, direct-current plasma immersion ion implantation that excels in planar sample processing, PIII of insulating materials, as well as plasma surface modification of biomaterials are reviewed in this article American Vacuum Society. DOI: / I. INTRODUCTION Plasma immersion ion implantation PIII was first introduced in the 1980 s to circumvent the line-of-sight restriction of conventional beamline ion implantation. 1,2 PIII also offers advantages, such as high efficiency, large area, and batch processing, as well as small instrument footprint. Figure 1 illustrates some of the processes occurring in the PIII chamber demonstrating the versatility and potential problems of the technique. In addition to metallurgical engineering, PIII excels in semiconductor and microelectronics processing as well as biomedical engineering. 3,4 The most widely studied semiconductor applications of PIII are shallow junction formation by plasma doping PD, 5 11 production of silicon-oninsulator SOI substrates by either PIII/ion-cut or separation by plasma implantation of oxygen, conformal trench doping, 20 hydrogenation of polysilicon thin films used in flat-panel displays, 21 as well as fabrication of thin oxide on SiGe, low dielectric constant low-k), and III-nitride materials In this article, recent developments and applications of PIII in semiconductors and nonsemiconductors are reviewed. II. PLASMA DOPING Figure 2 shows some other typical PIII applications in ultralarge-scale integrated silicon processing. Examples shown here include sidewall doping of deep trench-based and stack capacitor-based dynamic random access memory, gate oxide processing, as well as polysilicon gate doping. PIII is a competing doping technique in ultralarge-scale integration ULSI. Modern silicon microelectronic devices require ultrashallow source/drain extensions to reduce shortchannel effects and enhance the performance. Shallow junctions can be accomplished using low-energy conventional beamline ion implantation and rapid thermal annealing. In spite of recent progress on hardware improvement, 25 conventional beamline ion implantation still suffers from a Author to whom correspondence should be addressed; electronic mail: paul.chu@cityu.edu.hk small throughput and poor beam performance as a lowenergy tool. 26,27 Hence, PIII, also called PD, has attracted much attention. As an ultrashallow junction fabrication technique, PIII PD has been demonstrated for both n-type and p-type ultrashallow junctions. In order to improve the process, much work is being conducted on related issues, such as high activation efficiency, reduction of damage, improvement of dopant profile steepness, and enhancement of transistor performances. However, PD is still not a mature technique from the commercial perspective and a few practical issues, such as dosimetry accuracy, glancing angle implantation underneath gate structures, precision, and plasma surface interactions must still be addressed. 28 More details on the current status and development of PD can be found in other articles in this special issue. III. SILICON-ON-INSULATOR SYNTHESIS AND DIRECT-CURRENT PLASMA IMMERSION ION IMPLANTATION Hydrogen ion implantation is, at present, used commercially to produce SOIs 29,30 and has been extended to perform layer transfer in other materials. 31,32 As shown in Fig. 3, the ion-cut process requires high dose hydrogen ion implantation to create microcavities along the projected range of the implant. Upon annealing, the stress imposed by the expansion and coalescence of these microcavities causes delamination of the wafer along the projected range of the implanted ions. In the silicon ion-cut process illustrated here, the implanted or donor wafer is bonded to another silicon or acceptor wafer before annealing and the cleaved silicon film is transferred to the acceptor film. If the acceptor film has a surface oxide layer, the resulting structure becomes a SOI. As the required hydrogen dose for the layer transfer process is quite high, typically in the mid atoms/cm 2, PIII is more economical than conventional beamline ion implantation. In addition to innovations in hardware, the success of PIII stems from intensive research and subsequent improvement on the uniformity of ion dose and implant energy as well as processing issues, such as contamination J. Vac. Sci. Technol. B 22 1, JanÕFeb Õ2004Õ22 1 Õ289Õ8Õ$ American Vacuum Society 289

2 290 Paul K. Chu: Recent developments and applications of PIII 290 FIG. 3. Schematic of hydrogen PIII ion-cut process. FIG. 1. Processes occurring in a PIII chamber. In the ion-cut process, the important parameter is the depth of the hydrogen implant provided that a critical dose has been implanted. Hydrogen PIII is typically performed in mtorr or sub-mtorr pressure to ensure noncollisional conditions in which the ion mean-free path is much larger than the ion-matrix sheath thickness. However, low-energy ions implanted during the rise and fall times of the voltage pulses can introduce defects affecting the yield of the ion-cut process During the short but finite rise and fall times of each voltage pulse, the ion acceleration energy is reduced, resulting in a low-energy component in the implant distribution. In addition, when a negative high-voltage pulse is imposed, the vacuum chamber, sheath, and circuit inherently induce an equivalent capacitive load on the power modulator and give rise to a displacement current. 39 This displacement current generates extra heating to the wafer and sample stage, and metal impurities can diffuse from the contact interface and sample stage into the wafer, and are subsequently driven into the wafer at a higher temperature. Therefore, cooling is sometimes required in the implantation process. These effects are deleterious in the PIII-ion cut and PIII- SIMOX techniques. 40 Hence, in order to reduce the effects of the voltage pulse rise and fall times, dc PIII has been proposed Figure 4 displays a dc-piii apparatus consisting of a radio frequency rf plasma source. A conducting grid, made of a compatible material to avoid contamination e.g., a siliconcoated mesh for implanting silicon wafers, divides the chamber into two halves. In the lower part, a strong electric field is formed between the negatively biased wafer stage FIG. 2. Application examples of PIII to ULSI silicon processing see Ref. 27. FIG. 4. dc-piii setup. J. Vac. Sci. Technol. B, Vol. 22, No. 1, JanÕFeb 2004

3 291 Paul K. Chu: Recent developments and applications of PIII 291 and the boundaries created by the grid in the lower part of the chamber walls. The upper part confines the plasma since the grounded grid stops the expansion of the ion sheath. In this way, a continuous low-pressure discharge can be maintained in the volume above the grid without plasma extinction even at high sample voltage. Without the grid, the plasma sheath may propagate all the way to the top of the PIII chamber thereby extinguishing the plasma. Positive ions diffuse into the lower part through the grid and are implanted into the top of the wafer. The dose and energy uniformity along the implanted wafer are important issues for PIII, and as aforementioned, there are many low-energy ions introduced into the wafer during the rise and fall times of each negative voltage pulses. In the dc-piii mode, the ion impact energy is constant since the ions are accelerated directly from the grid to the wafer stage. The uniformity of the ion dose on the wafer depends on two factors: The uniformity of the incident ion current and impact angle. In the dc mode, the implantation area is totally determined by the ratio of the radius of wafer stage, the radius of the vacuum chamber, the distance between the wafer stage and grid H, and diameter of the wafer stage. The projected area from the grid to the wafer stage determines the incident dose into the wafer. Actually, the smaller H is, the closer the ratio of the projected area to the implanted area is to 1 and the better the incident dose uniformity. However, the shorter the distance between the anode grid and cathode wafer stage, the higher the electric field that may lead to breakdown at high implantation voltage. The impact angle at the edge can be made normal by changing the dimension of the wafer stage. For instance, a larger wafer stage can smooth out the electric field at the edge. In PIII, the ions are accelerated from the ion sheath and the impact angle is determined by the topography of the sheath. Hence, the retained dose and impact energy in the dc mode can be made more uniform by choosing the suitable internal dimensions of the lower part. PIII experiments are usually conducted at very low gas pressure to achieve high impact energy, for example, lower than 0.1 mtorr, and so energy loss and charge transfer/ neutralization arising from ion collisions are minimal. Hence, a high intensity plasma source such as an electron cyclotron resonance ECR plasma source is necessary for high ion dose dc-piii. Such an apparatus is displayed in Fig. 5. Rutherford backscattering spectrometry analysis shows excellent lateral implant dose uniformity. The calculated doses at the center and side of the 75 mm wafer are cm 2 and cm 2, respectively. 46 The uniformity value is not adequate for commercial semiconductor application which is typically 1% over 200 or 300 mm, but it can be improved by using a more uniform plasma source and better chamber geometry. The results unequivocally illustrate that the dose rate and electrical power efficiency are improved by dc-piii. For instance, the dose rate can be as high as cm 2 min 1 and the electrical power consumption can be reduced to about one quarter of that in pulsed PIII. FIG. 5. dc-piii with an ECR source. To enhance the efficacy of the dc-piii process, the grid separating the vacuum chamber can be biased. The process changes the plasma density in the upper chamber and modifies the plasma topography along the grid surface. Our results show an enhanced extracted ion current density from the plasma and suggest specific optimal process windows. 47 In a recent study, 48 the implanted area is shown to increase with the radius of the extraction hole and wafer stage, but decreases with a larger distance between the grid and sample. The effects of the extraction hole radius are the largest, followed by the placement of the sample to the conducting grid. The wafer stage poses the smallest influence but a proper wafer stage dimension improves the lateral implant dose and incident angle homogeneity. Simulation and experimental results suggest optimal ratios of these parameters for each wafer size. A method to control the ion current in dc-piii has recently been reported for low-pressure magnetized inductively coupled plasma. 49 The ion current can be conveniently adjusted by applying a bias voltage to the conducting grid separating the plasma formation and implantation ion acceleration zones without the need to alter the rf input power, gas flux, and other work conditions. The acceleration currents I a versus the grid bias voltages at acceleration voltage V a 50 kv with and without the external magnetic field are depicted in Fig. 6. Here, the grid mesh size is 2 2 mm and the grid diameter is 250 mm. Our results show that the current only increases by about 25% without the external magnetic field when V g 70 V. The current diminishes with increasing grid bias voltage when the plasma is magnetized, from 48 ma to 1 ma by simply adjusting the grid bias voltage from 0 V to 70 V at I c 0.8 A without needing to alter JVST B-Microelectronics and Nanometer Structures

4 292 Paul K. Chu: Recent developments and applications of PIII 292 FIG. 7. Schematic of mesh-assisted PIII. FIG. 6. Current I a vs grid bias voltage V g at V a 50 kv with and without the external magnetic field for rf power 1000 W and pressure 0.5 mtorr. other macroscopic plasma parameters. Hence, high implantation voltage and monoenergetic immersion implantation can now be achieved with control of the ion current without varying the macroscopic plasma parameters. The experimental results and interpretation of the effects are presented in this article. This technique is very attractive for PIII of planar samples requiring on-the-fly adjustment of the implantation current at high implantation voltage but low substrate temperature. In some applications, such as hydrogen PIII-ion cut, it may even obviate the need for complicated sample cooling devices that must work at high voltage. IV. PLASMA IMMERSION ION IMPLANTATION OF INSULATING MATERIALS Attempts to implant dielectric substrates using PIII have encountered intrinsic technical problems. The common PIII approach is to place the dielectric sample on a conductive substrate holder and apply high-voltage pulses to the holder. However, the magnitude of the potential at the dielectric plasma interface, induced by applying a potential to the rear surface of the dielectric, is reduced by a combination of capacitive voltage division and charge accumulation due to the introduction of positive ions and emission of secondary electrons. 50 The insulating substrate that is positioned on a conducting target holder cannot rise to the full negative pulse bias potential and, consequently, the energy of the incident ions is less than the full pulse voltage. As a result, the effective dielectric thickness of the substrate and applied pulse length and frequency that can be used in practice are limited. In severe cases involving large pieces of bulk insulators, the surface potential is significantly reduced and instrumental arcing may become too difficult to overcome. For thinner dielectric samples, surface charging broadens the energy distribution of incident ions in addition to the effects of the rise or fall times of the negative pulses. 51 Surface charging is also brought about by secondary electron emission. The discharge time scale of the charged insulator surface can be on the order of 20 s, and a combination of short pulse duration, high pulse frequency, and low plasma density can be beneficial from the viewpoint of increasing the ion implantation energy. In cases where the insulating substrates are thick, alternative approaches must be adopted. The obvious one is the use of a sacrificial conductive surface layer. 52 In this method, thin conducting films are deposited on the insulating surfaces connected to the power modulator and ions are implanted through the conductive layer to modify the underlying materials. The conductive films can be etched in the end if desired. This technique is useful if the deposited metallic film does not introduce detrimental effects to the substrates. In cases when the use of a sacrificial conductive surface layer is not feasible, for example, in gas ion PIII, when the existence of the metallic layer causes deleterious effects, or when the surface film is so thick in order to accomplish the necessary surface electrical conductivity that the implant projected range into the insulating materials is insufficient, Matossian et al. 53 have proposed mesh-assisted PIII. This technique utilizes a conducting grid placed several mm or cm above the insulating substrate and connected to the high-voltage modulator. In the configuration illustrated in Fig. 7, ions accelerated across the sheath toward the grid pass through the holes and are implanted into the insulator surface. Our initial results are quite positive showing improvement in the implantation energy. Figure 8 depicts the nitrogen depth profiles of nitrogen-implanted SiO 2 with and without the grid acquired by secondary ion mass spectrometry SIMS. The sample voltage is 40 kv, but simulation results show that the maximum voltage is 30 kv and 23 kv for the grid and without-grid samples, respectively. Broad ion distributions are observed due to coimplantation of low-energy ions and ions of different types e.g., N,N 2 etc.. The surface potential drop on the sample implanted without the grid is consistent with simulated capacitance effects. 54,55 Even though the nitrogen profile is deeper using the grid indicating better coupling of the implantation voltage on the surface of the insulating sample, the maximum voltage is still lower than the applied one and it may be due to factors, such as ion deceleration, between the grid and sample surface. The size of the grid holes is critical because if they are too large, ions implanted through the center region of the holes will not have high impact energy and secondary electrons, which would be otherwise reflected back to the samples to neutral- J. Vac. Sci. Technol. B, Vol. 22, No. 1, JanÕFeb 2004

5 293 Paul K. Chu: Recent developments and applications of PIII 293 FIG. 8. Nitrogen depth profiles acquired by SIMS from plasma-implanted SiO 2 samples with and without the grid. FIG. 9. Absorbance of various materials vs time. ize surface charging, may pass through into the overlying plasma. Hence, proper placement of the grid with respect to the insulator surface as well as correct dimensions and shape of the grid holes are crucial to the ion energy and dose uniformity. Moreover, shadowing effects due to the grid are quite apparent and can affect the lateral ion dose uniformity. In principle, sample rotation is a possibility and more work is being done to fathom the sheath evolution process as well as to optimize the process. More work is being conducted to mitigate the effects of grid sputtering and surface contamination. All in all, the ability to plasma implant insulating samples broadens the applications tremendously and the primary beneficiaries are the biomedical and polymeric industries. V. RECENT BIOMEDICAL APPLICATIONS Plasma surface modification of biomaterials has recently become a hot topic. One of the advantages is that mechanically sturdy materials that are not very biocompatible can be plasma treated to render the surface more biocompatible while retaining the favorable bulk attributes. 56 This provides a faster track to generate biocompatible artificial materials when compared to the synthesis of new materials. One of the recent applications of PIII deposition PIII-D is to enhance the blood compatibility of artificial materials used in medical transplants, such as artificial heart valves. Low-temperature isotropic pyrolytic carbon LTIC is currently the most widely accepted material used in artificial heart valves. However, the materials are quite brittle and its blood compatibility is still not sufficient. As a consequence, patients with heart transplants made of LTIC must take medication to prevent blood coagulation and there is an urgent need to develop new artificial heart valve materials that possess better blood compatibility and mechanical durability. Thin films that have been successfully fabricated by PIII-D and possess improved blood compatibility include TiN, Ti(Ta 5 )O 2, and diamondlike carbon thin films Several recent studies are reviewed here. Figure 9 depicts the blood clotting behavior of TiN, Ta, TaN, and LTIC. 61 The absorbance of the hemolyzed hemoglobin solution varies with time, and the higher the absorbance, the better the thromboresistance. The time at which the absorbance is equal to 0.1 is generally defined to be the clotting time, 69 and a longer clotting time implies that the materials have better blood compatibility. The data shown here unequivocally demonstrate that the clotting tendency of tantalum nitride is smaller than that of TiN, Ta, and LTIC 40 and 50 min, and tantalum nitride thus possesses the best blood compatibility among the four materials. The platelet adhesion study illustrates that the number of adhered platelets is highest on LTIC and smallest on tantalum nitride, as shown in Fig. 10, and the difference is quite substantial for an incubation time of 10 min. Figure 11 displays the morphology of the adhered platelets on tantalum nitride and LTIC, and the number of platelets adhered onto the tantalum nitride film is markedly lower than that on LTIC. The degree of deformation pseudopodium and aggregation of the adhered platelets are also less on tantalum nitride. The observation is consistent with the results of the clotting time measurement corroborating that the blood compatibility of tantalum nitride is better than that of the TiN, Ta, and LTIC. FIG. 10. Number of platelets adhered on LTIC, Ta, TaN, and TiN film incubation time 10 min). JVST B-Microelectronics and Nanometer Structures

6 294 Paul K. Chu: Recent developments and applications of PIII 294 FIG. 12. Morphology of adherent platelets on the surface of the Ti(Ta 5 )O 2 film and LTIC after 180 min external incubation: a Ti(Ta 5 )O 2 film, 3000 magnification, b Ti(Ta 5 )O 2 film, 1000 magnification, c LTIC, 3000 magnification, and d LTIC, 1000 magnification. FIG. 11. Morphology of adherent platelets incubation time 1 h) on: a TaN and b LTIC. The in vitro and in vivo blood compatibility of Ti(Ta 5 )O 2 films synthesized by PIII-D has been studied. 62 The morphology of the adhered platelets on Ti(Ta 5 )O 2 and LTIC after 3 h of external incubation is displayed in Fig. 12, which is the result of a scanning electron microscope SEM field chosen at random. The platelets on Ti(Ta 5 )O 2 form a single layer and are isolated Fig. 12 a. There is no sign of accumulation and only slight pseudopodium can be observed. In contrast, platelet accumulation and pseudopodium are very serious on the LTIC surface, as indicated by the three-dimensional structures connected by pseudopodium. Quantitatively, the number of adherent platelets on LTIC is about two orders of magnitude higher than that on Ti(Ta 5 )O 2. The results thus unambiguously impart that platelet adhesion is mitigated significantly on Ti(Ta 5 )O 2 and the film thromboresistance is much better than that of LTIC. In vivo investigation of the Ti(Ta 5 )O 2 films is conducted by implanting the coated CPTi and LTIC control into the ventral aorta and right auricle of the same dog. Two holes with a diameter of about 0.5 mm are made at the edge of the test samples. The samples are then affixed onto the wall of the ventral aorta or right auricle by a prolene thread passing through the sample hole. The experiments are repeated three times one in the ventral aorta and two in right auricle in the same dog, and three dogs weighing 10 to 15 kg are tested in the experiments to obtain more reliable results. After the samples are implanted into the dogs, no medication is used. After 17 days, each sample is taken out carefully not to remove the thrombus formed on the tested samples, and the thrombus formed on the surface of the sample is subsequently observed visually and using a SEM. Results from in vivo experiments conducted on seven of the nine specimens show that the amount of thrombus on Ti(Ta 5 )O 2 is less than that on LTIC. On the remaining two Ti(Ta 5 )O 2 specimens, no thrombus formation is revealed. The SEM micrographs showing the microstructure of the adhered blood components are depicted in Fig. 13. On the surface of Ti(Ta 5 )O 2 film, all of the SEM fields chosen at random show that the adherent red blood cells are not only small in quantity, but also its morphology is quite normal, and almost no fibrin and adherent platelets can be found on the surface. That is to say, the red blood cells exhibit a circular diskshaped structure with a concave center Figs. 13 a and 13 b. On the other hand, the adherent red blood cells on the LTIC surface appear to be damaged Fig. 13 c because they do not exhibit the biconcave morphology indicative of normal red blood cells. Moreover, on the LTIC surface, platelet aggregation can be observed and there is much fibrin on the surface as shown in Fig. 13 d. Based on the in vitro and in vivo experiments, Ti(Ta 5 )O 2 films exhibit attractive blood compatibility exceeding that of low isotropic pyrolytic carbon and material characteristics, such as surface energy and semiconductivity, play important roles. The results also suggest that the smaller surface energy s and blood/film interfacial tension c.blood are partially responsible for the enhancement of hemocompatibility. These factors result in J. Vac. Sci. Technol. B, Vol. 22, No. 1, JanÕFeb 2004

7 295 Paul K. Chu: Recent developments and applications of PIII 295 FIG. 13. SEM micrographs showing blood components adhered to the surface of Ti(Ta 5 )O 2 and LTIC after implanted into a dog for 17 days: a Ti(Ta 5 )O 2,3000 magnification, b Ti(Ta 5 )O 2, 1000 magnification, c LTIC, 3000 magnification, and d LTIC, 1000 magnification. thinner protein layers on the film surface as well as less distortion and denaturing of protein. VI. CONCLUSION PIII has found a number of exciting applications. PD is a potential alternative to conventional beamline ion implantation in trench doping and shallow junction formation. Hydrogen PIII, as practiced in the layer transfer technology, has gained acceptance due to recent improvement in the ion dose and energy uniformity as well as contamination control. The advent of dc-piii obviates the need for the complicated and expensive power modulator and significantly reduces the instrument cost and footprint. This technique may find its way into commercial SOI fabrication and other semiconductor processes. The use of mesh-assisted PIII greatly expands the applications to insulating materials and plasma surface modification processes are being used to improve the biocompatibility of artificial materials used in animals and human beings. ACKNOWLEDGMENTS The work was jointly supported by Hong Kong RGC CERG CityU 1013/01E CityU and CityU 1052/ 02E CityU as well as City University of Hong Kong SRG J. R. Conrad, J. L. Radtke, R. A. Dodd, F. J. Worzala, and N. C. Tran, J. Appl. Phys. 62, P. K. Chu, N. W. Cheung, C. Chan, B. Mizuno, and O. R. Monteiro, in Handbook of Plasma Immersion Ion Implantation and Deposition, edited by A. Anders Wiley, New York, 2002, Chap. 11, pp P. K. Chu, S. Qin, C. Chan, N. W. Cheung, and L. A. Larson, Mater. Sci. Eng., R. 17, P. K. Chu, J. Y. Chen, L. P. Wang, and N. Huang, Mater. Sci. Eng., R. 36, B. Mizuno, I. Nakayama, N. Aoi, M. Kubota, and T. Komeda, Appl. Phys. Lett. 53, S. Qin and C. Chan, J. Vac. Sci. Technol. B 12, R. J. Matyi, D. L. Chapek, D. P. Brunco, S. B. Felch, and B. S. Lee, Surf. Coat. Technol. 93, J. Shao, E. C. Jones, and N. W. Cheung, Surf. Coat. Technol. 93, M. J. Goeckner, S. B. Felch, Z. Fang, D. Lenoble, J. Galvier, A. Grouillet, G. C.-R. Yeap, D. Bang, and M.-R. Lin, J. Vac. Sci. Technol. B 17, S. B. Felch, Z. Fang, B. W. Woo, R. B. Liebert, S. R. Walther, and D. Hacker, Surf. Coat. Technol. 156, D. Lenoble and A. Grouillet, Surf. Coat. Technol. 156, J. B. Liu, S. S. K. Iyer, C. M. Hu, N. W. Cheung, R. Gronsky, J. Min, and P. K. Chu, Appl. Phys. Lett. 67, P. K. Chu, X. Lu, S. S. K. Iyer, and N. W. Cheung, Solid State Technol. 40, S X. Lu, S. S. K. Iyer, J. B. Liu, C. M. Hu, N. W. Cheung, J. Min, and P. K. Chu, Appl. Phys. Lett. 70, S. S. K. Iyer, X. Lu, J. B. Liu, J. Min, Z. Fan, P. K. Chu, C. M. Hu, and N. W. Cheung, IEEE Trans. Plasma Sci. 25, X. Lu, N. W. Cheung, M. D. Strathman, P. K. Chu, and B. Doyle, Appl. Phys. Lett. 71, X. Lu, S. S. K. Iyer, C. M. Hu, N. W. Cheung, J. Min, Z. N. Fan, and P. K. Chu, Appl. Phys. Lett. 71, P. K. Chu, S. Qin, C. Chan, N. W. Cheung, and P. K. Ko, IEEE Trans. Plasma Sci. 26, X. Lu, S. S. K. Iyer, J. Lee, B. Doyle, Z. N. Fan, P. K. Chu, C. M. Hu, and N. W. Cheung, J. Electron. Mater. 27, C. Yu and N. W. Cheung, IEEE Electron Device Lett. 15, J. D. Bernstein, S. Qin, C. Chan, and T. J. King, IEEE Electron Device Lett. 16, Z. Fan, G. Zhao, P. K. Chu, Z. Jin, H. S. Kwok, and M. Wong, Appl. Phys. Lett. 73, S. Qin, Y. Z. Zhou, C. Chan, and P. K. Chu, IEEE Electron Device Lett. 19, A. H. P. Ho, D. T. K. Kwok, X. C. Zeng, C. Chan, and P. K. Chu, Surf. Coat. Technol. 136, D. Vogler, Solid State Technol. 45, P. K. Chu, S. B. Felch, P. Kellerman, F. Sinclair, L. A. Larson, and B. Mizuno, Solid State Technol. 42, ; ibid. 42, K. Lee, Extended Abstracts of the International Workshop on Junction Technology, Tokyo, Japan Japanese Society of Applied Physics, Tokyo, 2001, p A. E. Braun, Semicond. Int. 25, M. Bruel, Electron. Lett. 31, L. Feng, M. Bryan, W. En, A. Lam, I. Holm, I. Malik, and M. Current, Proceedings of the Fifth Plasma-Based Ion Implantation Workshop, Kyoto, Japan 1999, paper O Q. Y. Tong, K. Gutiahr, S. Hopfe, U. Gosele, and T. H. Lee, Appl. Phys. Lett. 70, L. B. Freund, Appl. Phys. Lett. 70, P. K. Chu and X. Zeng, J. Vac. Sci. Technol. A 19, P. K. Chu, R. K. Y. Fu, X. C. Zeng, and D. T. K. Kwok, J. Appl. Phys. 90, Z. Fan, P. K. Chu, N. W. Cheung, and C. Chan, IEEE Trans. Plasma Sci. 27, X. B. Tian, D. T. K. Kwok, and P. K. Chu, J. Appl. Phys. 88, D. T. K. Kwok, P. K. Chu, M. Takase, and B. Mizuno, Surf. Coat. Technol. 136, L. W. Wang, R. K. Y. Fu, X. C. Zeng, P. K. Chu, W. Y. Cheung, and S. P. Wong, J. Appl. Phys. 90, X. B. Tian, B. Y. Tang, and P. K. Chu, J. Appl. Phys. 86, Z. Fan, Q. C. Chen, P. K. Chu, and C. Chan, IEEE Trans. Plasma Sci. 26, D. T. K. Kwok, X. C. Zeng, C. Chan, and P. K. Chu, J. Appl. Phys. 87, JVST B-Microelectronics and Nanometer Structures

8 296 Paul K. Chu: Recent developments and applications of PIII X. C. Zeng, P. K. Chu, Q. C. Chen, and H. H. Tong, Thin Solid Films 390, X. C. Zeng, H. H. Tong, R. K. Y. Fu, P. K. Chu, Z. J. Xu, and Q. C. Chen, J. Vac. Sci. Technol. A 19, X. C. Zeng, R. K. Y. Fu, D. T. K. Kwok, and P. K. Chu, Appl. Phys. Lett. 79, D. T. K. Kwok, P. K. Chu, B. P. Wood, and C. Chan, J. Appl. Phys. 86, X. C. Zeng, Ph.D. thesis, City University of Hong Kong, Hong Kong, H. H. Tong, R. K. Y. Fu, X. C. Zeng, D. T. K. Kwok, and P. K. Chu, J. Vac. Sci. Technol. B 20, R. K. Y. Fu, P. Peng, X. C. Zeng, D. T. K. Kwok, and P. K. Chu, IEEE Trans. Plasma Sci. 31, D. L. Tang and P. K. Chu, Appl. Phys. Lett. 82, G. A. Emmert, J. Vac. Sci. Technol. B 12, B. P. Linder and N. W. Cheung, IEEE Trans. Plasma Sci. 24, T. W. H. Oates, D. R. McKenzie, and M. M. M. Bilek, Surf. Coat. Technol. 156, J. N. Matossian, R. W. Schumacher, and D. M. Pepper, U.S. Patent No , Hughes Aircraft Company, Los Angeles, CA, December 20, X. B. Tian, R. K. Y. Fu, J. Y. Chen, P. K. Chu, and I. G. Brown, Nucl. Instrum. Methods Phys. Res. B 187, R. K. Y. Fu, X. B. Tian, and P. K. Chu, Rev. Sci. Instrum. 74, P. K. Chu, J. Y. Chen, L. P. Wang, and N. Huang, Mater. Sci. Eng., R. 36, Y. Mitamura, J. Biomater. Appl. 4, I. Dion, X. Roques, N. More, and L. Labrousse, Biomaterials 14, A. Bolz and M. Schaldach, Artif. Organs 144, A. Thromson, F. G. Law, N. Rushton, and J. Franks, Biomaterials 12, Y. X. Leng, H. Sun, P. Yang, J. Y. Chen, J. Wang, G. J. Wan, N. Huang, X. B. Tian, L. P. Wang, and P. K. Chu, Thin Solid Films 398, J. Y. Chen, Y. X. Leng, X. B. Tian, L. P. Wang, N. Huang, P. Yang, and P. K. Chu, Biomaterials 23 12, Y. X. Leng, N. Huang, P. Yang, J. Y. Chen, H. Sun, J. Wang, G. J. Wan, X. B. Tian, R. K. Y. Fu, L. P. Wang, and P. K. Chu, Surf. Coat. Technol. 156, J. Y. Chen, L. P. Wang, K. Y. Fu, N. Huang, Y. Leng, Y. X. Leng, P. Yang, J. Wang, G. J. Wan, H. Sun, X. B. Tian, and P. K. Chu, Surf. Coat. Technol. 156, P. Yang, N. Huang, Y. X. Leng, J. Y. Chen, H. Sun, J. Wang, G. J. Wang, X. Wu, F. Chen, and P. K. Chu, Surf. Coat. Technol. 156, N. Huang, G. J. Wan, Y. X. Leng, H. Sun, P. Yang, J. Y. Chen, J. Wang, Y. Leng, and P. K. Chu, Surf. Coat. Technol. 156, P. Yang, N. Huang, Y. X. Leng, J. Y. Chen, R. K. Y. Fu, S. C. H. Kwok, Y. Leng, and P. K. Chu, Biomaterials 24, P. Yang, S. C. H. Kwok, P. K. Chu, Y. X. Leng, J. Y. Chen, J. Wang, and N. Huang, Nucl. Instrum. Methods Phys. Res. B 206, T. F. Xi, C. R. Wang, W. H. Tian, and X. H. Liu, Proceedings of the Trans Third World Biomaterials Congress Japan 1988, p.32. J. Vac. Sci. Technol. B, Vol. 22, No. 1, JanÕFeb 2004

Hydrogen-induced surface blistering of sample chuck materials in hydrogen plasma immersion ion implantation

Hydrogen-induced surface blistering of sample chuck materials in hydrogen plasma immersion ion implantation Hydrogen-induced surface blistering of sample chuck materials in hydrogen plasma immersion ion implantation Paul K. Chu a) and Xuchu Zeng Department of Physics and Materials Science, City University of

More information

Investigation of low-pressure elevated-temperature plasma immersion ion implantation of AISI 304 stainless steel

Investigation of low-pressure elevated-temperature plasma immersion ion implantation of AISI 304 stainless steel Investigation of low-pressure elevated-temperature plasma immersion ion implantation of AISI 304 stainless steel Xiubo Tian and Paul K. Chu a) Department of Physics and Materials Science, City University

More information

Extended Abstracts of the Sixth International Workshop on Junction Technology

Extended Abstracts of the Sixth International Workshop on Junction Technology IWJT-2006 Extended Abstracts of the Sixth International Workshop on Junction Technology May.15-16, 2006, Shanghai, China Editors: Yu-Long Jiang, Guo-Ping Ru, Xin-Ping Qu, and Bing-Zong Li IEEE Press IEEE

More information

Damage in hydrogen plasma implanted silicon

Damage in hydrogen plasma implanted silicon JOURNAL OF APPLIED PHYSICS VOLUME 90, NUMBER 4 15 AUGUST 2001 Damage in hydrogen plasma implanted silicon Lianwei Wang, a) Ricky K. Y. Fu, Xuchu Zeng, and Paul K. Chu b) Department of Physics and Materials

More information

Author(s) Chayahara, A; Kinomura, A; Horino, RightCopyright 1999 American Vacuum Soci

Author(s) Chayahara, A; Kinomura, A; Horino,   RightCopyright 1999 American Vacuum Soci Title Titanium nitride prepared by plasma implantation Author(s) Yukimura, K; Sano, M; Maruyama, T; Chayahara, A; Kinomura, A; Horino, Citation JOURNAL OF VACUUM SCIENCE & (1999), 17(2): 840-844 TECHNOL

More information

Haemocompatibility of hydrogenated amorphous carbon (a-c:h) films synthesized by plasma immersion ion implantation-deposition

Haemocompatibility of hydrogenated amorphous carbon (a-c:h) films synthesized by plasma immersion ion implantation-deposition Nuclear Instruments and Methods in Physics Research B 6 (3) 721 725 www.elsevier.com/locate/nimb Haemocompatibility of hydrogenated amorphous carbon (a-c:h) films synthesized by plasma immersion ion implantation-deposition

More information

Effects of mesh-assisted carbon plasma immersion ion implantation on the surface properties of insulating silicon carbide ceramics

Effects of mesh-assisted carbon plasma immersion ion implantation on the surface properties of insulating silicon carbide ceramics Effects of mesh-assisted carbon plasma immersion ion implantation on the surface properties of insulating silicon carbide ceramics Ricky K. Y. Fu and Ka Leung Fu Kowloon, Hong Kong Xiubo Tian Kowloon,

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Isolation of elements

Isolation of elements 1 In an IC, devices on the same substrate must be isolated from one another so that there is no current conduction between them. Isolation uses either the junction or dielectric technique or a combination

More information

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

2007 IEEE International Conference on Electron Devices and Solid-State Circuits Proceedings 2007 IEEE International Conference on Electron Devices and Solid-State Circuits ~ December 20-22, 2007 Tayih Landis Hotel, Tainan, Taiwan Volume I Aluminium Incorporation in Lanthanum Oxide

More information

Fabrication and characteristics of novel microelectronic structures fabricated by plasma-based techniques

Fabrication and characteristics of novel microelectronic structures fabricated by plasma-based techniques Surface & Coatings Technology 201 (2007) 6745 6751 www.elsevier.com/locate/surfcoat Fabrication and characteristics of novel microelectronic structures fabricated by plasma-based techniques Ricky K.Y.

More information

Influence of oxygen pressure on the properties and biocompatibility of titanium oxide fabricated by metal plasma ion implantation and deposition

Influence of oxygen pressure on the properties and biocompatibility of titanium oxide fabricated by metal plasma ion implantation and deposition Thin Solid Films 40 41 (00) 408 413 Influence of oxygen pressure on the properties and biocompatibility of titanium oxide fabricated by metal plasma ion implantation and deposition a a a,b a a a a c b,

More information

566 Zheng Zhong-Shan et al Vol Device and experiment First, standard SIMOX (separation-by-implantedoxygen) wafers were formed through implanting

566 Zheng Zhong-Shan et al Vol Device and experiment First, standard SIMOX (separation-by-implantedoxygen) wafers were formed through implanting Vol 14 No 3, March 2005 cfl 2005 Chin. Phys. Soc. 1009-1963/2005/14(03)/0565-06 Chinese Physics and IOP Publishing Ltd Effect of the technology of implanting nitrogen into buried oxide on the radiation

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

An economical fabrication technique for SIMOX using plasma immersion ion implantation. Min, J; Chu, PK; Cheng, YC; Liu, JB; Iyer, SSK; Cheung, NW

An economical fabrication technique for SIMOX using plasma immersion ion implantation. Min, J; Chu, PK; Cheng, YC; Liu, JB; Iyer, SSK; Cheung, NW Title An economical fabrication technique for SIMOX using plasma immersion ion implantation Author(s) Min, J; Chu, PK; Cheng, YC; Liu, JB; Iyer, SSK; Cheung, NW Citation Proceedings of the 4th International

More information

Amorphous and Polycrystalline Thin-Film Transistors

Amorphous and Polycrystalline Thin-Film Transistors Part I Amorphous and Polycrystalline Thin-Film Transistors HYBRID AMORPHOUS AND POLYCRYSTALLINE SILICON DEVICES FOR LARGE-AREA ELECTRONICS P. Mei, J. B. Boyce, D. K. Fork, G. Anderson, J. Ho, J. Lu, Xerox

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Fairchild Semiconductor Application Note June 1983 Revised March 2003 Fairchild Semiconductor Application Note June 1983 Revised March 2003 High-Speed CMOS (MM74HC) Processing The MM74HC logic family achieves its high speed by utilizing microcmos Technology. This is a 3.5

More information

Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation

Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation Japanese Journal of Applied Physics Vol. 46, No. 5B, 27, pp. 3234 3238 #27 The Japan Society of Applied Physics Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation Banani

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

ELECTROCHEMICAL CORROSION PROPERTIES OF AISI304 STEEL TREATED BY LOW-TEMPERATURE PLASMA IMMERSION ION IMPLANTATION

ELECTROCHEMICAL CORROSION PROPERTIES OF AISI304 STEEL TREATED BY LOW-TEMPERATURE PLASMA IMMERSION ION IMPLANTATION Scripta mater. 43 (2000) 417 422 www.elsevier.com/locate/scriptamat ELECTROCHEMICAL CORROSION PROPERTIES OF AISI304 STEEL TREATED BY LOW-TEMPERATURE PLASMA IMMERSION ION IMPLANTATION Xiubo Tian and Paul

More information

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline: Fabrication of p-n junctions Contact Potential Things you should know when you leave Key Questions What are the necessary steps to fabricate

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Challenges and Future Directions of Laser Fuse Processing in Memory Repair Challenges and Future Directions of Laser Fuse Processing in Memory Repair Bo Gu, * T. Coughlin, B. Maxwell, J. Griffiths, J. Lee, J. Cordingley, S. Johnson, E. Karagiannis, J. Ehrmann GSI Lumonics, Inc.

More information

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Korean J. Chem. Eng., 19(3), 524-528 (2002) Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas Chee Won Chung, Yo Han Byun and Hye In Kim Department

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Department of Electrical Engineering. Jungli, Taiwan

Department of Electrical Engineering. Jungli, Taiwan Chapter 3 Fabrication of CMOS Integrated Circuits Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Background Outline The CMOS Process Flow Design Rules Latchup

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: Chapter 4 1 CHAPTER 4: Oxidation Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are: 1. mask against implant or diffusion of dopant into silicon 2. surface passivation

More information

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition Nuclear Instruments and Methods in Physics Research B 206 (2003) 357 361 www.elsevier.com/locate/nimb Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Anomaly of Film Porosity Dependence on Deposition Rate

Anomaly of Film Porosity Dependence on Deposition Rate Anomaly of Film Porosity Dependence on Deposition Rate Stephen P. Stagon and Hanchen Huang* Department of Mechanical Engineering, University of Connecticut, Storrs, CT 06269 J. Kevin Baldwin and Amit Misra

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

1. Introduction. What is implantation? Advantages

1. Introduction. What is implantation? Advantages Ion implantation Contents 1. Introduction 2. Ion range 3. implantation profiles 4. ion channeling 5. ion implantation-induced damage 6. annealing behavior of the damage 7. process consideration 8. comparison

More information

Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy

Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy Surface and corrosion characteristics of carbon plasma implanted and deposited nickel-titanium alloy R. W. Y. Poon, X. Y. Liu, C. Y. Chung, and P. K. Chu a Department of Physics and Materials Science,

More information

Silicon carbide formation by methane plasma immersion ion implantation into silicon

Silicon carbide formation by methane plasma immersion ion implantation into silicon Silicon carbide formation by methane plasma immersion ion implantation into silicon Zhenghua An, a) Ricky K. Y. Fu, and Peng Chen Department of Physics and Materials Science, City University of Hong Kong,

More information

Physical Vapor Deposition (PVD) Zheng Yang

Physical Vapor Deposition (PVD) Zheng Yang Physical Vapor Deposition (PVD) Zheng Yang ERF 3017, email: yangzhen@uic.edu Page 1 Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide

More information

Electrical properties of AlN thin films prepared by ion beam enhanced deposition

Electrical properties of AlN thin films prepared by ion beam enhanced deposition Surface & Coatings Technology 196 (2005) 130 134 www.elsevier.com/locate/surfcoat Electrical properties of AlN thin films prepared by ion beam enhanced deposition Zhenghua An a,b, Chuanling Men b, Zhengkui

More information

Effect of negative rf bias on electrophotographic properties of hard diamond-like carbon films deposited on organic photoconductors

Effect of negative rf bias on electrophotographic properties of hard diamond-like carbon films deposited on organic photoconductors J. Phys.: Condens. Matter 10 (1998) 7835 7841. Printed in the UK PII: S0953-8984(98)92663-7 Effect of negative rf bias on electrophotographic properties of hard diamond-like carbon films deposited on organic

More information

UHF-ECR Plasma Etching System for Gate Electrode Processing

UHF-ECR Plasma Etching System for Gate Electrode Processing Hitachi Review Vol. 51 (2002), No. 4 95 UHF-ECR Plasma Etching System for Gate Electrode Processing Shinji Kawamura Naoshi Itabashi Akitaka Makino Masamichi Sakaguchi OVERVIEW: As the integration scale

More information

行政院國家科學委員會補助專題研究計畫成果報告

行政院國家科學委員會補助專題研究計畫成果報告 NSC89-2215-E-009-104 89 08 01 90 07 31 Fabrication and Characterization of Low-Temperature Polysilicon Thin Film Transistors with Novel Self-Aligned Sub-Gate Structures NSC89-2215-E009-104 (FID) self-aligned

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization So-Ra Park 1,2, Jae-Sang Ro 1 1 Department of Materials Science and Engineering, Hongik University, Seoul, 121-791, Korea 2 EnSilTech

More information

Recent Developments of Plasma Immersion Ion Implantation (PIII) in Surface Modification and Engineering

Recent Developments of Plasma Immersion Ion Implantation (PIII) in Surface Modification and Engineering Recent Developments of Plasma Immersion Ion Implantation (PIII) in Surface Modification and Engineering Ricky K. Y. Fu and Paul K. Chu Dept. of Physics & Materials Science, City University of Hong Kong

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Nickel precipitation at nanocavities in separation by implantation of oxygen

Nickel precipitation at nanocavities in separation by implantation of oxygen Nickel precipitation at nanocavities in separation by implantation of oxygen Miao Zhang Department of Physics and Material Sciences, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon, Hong Kong

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

Deposited by Sputtering of Sn and SnO 2

Deposited by Sputtering of Sn and SnO 2 Journal of the Korean Ceramic Society Vol. 49, No. 5, pp. 448~453, 2012. http://dx.doi.org/10.4191/kcers.2012.49.5.448 Comparative Study of Nitrogen Incorporated SnO 2 Deposited by Sputtering of Sn and

More information

J. Vac. Sci. Technol. B 29 2, Mar/Apr /2011/29 2 /021401/6/$ American Vacuum Society

J. Vac. Sci. Technol. B 29 2, Mar/Apr /2011/29 2 /021401/6/$ American Vacuum Society Seal and encapsulate cavities for complementary metal-oxide-semiconductor microelectromechanical system thermoelectric power generators Jin Xie a Institute of Microelectronics, Agency for Science, Technology

More information

Fabrication of photonic band-gap crystals

Fabrication of photonic band-gap crystals Fabrication of photonic band-gap crystals C. C. Cheng and A. Scherer California Institute of Technology, Pasadena, California 91125 Received 19 June 1995; accepted 9 August 1995 We describe the fabrication

More information

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Mat. Res. Soc. Symp. Vol. 611 2000 Materials Research Society MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY Pushkar Ranade, Yee-Chia Yeo, Qiang Lu, Hideki Takeuchi, Tsu-Jae King, Chenming

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

PLASMA FLOW AND PLASMA EXPANSION AROUND 3D OBJECTS IN METAL PLASMA IMMERSION ION IMPLANTATION

PLASMA FLOW AND PLASMA EXPANSION AROUND 3D OBJECTS IN METAL PLASMA IMMERSION ION IMPLANTATION PLASMA FLOW AND PLASMA EXPANSION AROUND 3D OBJECTS IN METAL PLASMA IMMERSION ION IMPLANTATION Darina Manova & Stephan Mändl 1 Motivation 2 Motivation Visualisation of Water Flow from Dynamic Sand Dunes

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 17 Doping Processes Common Dopants Used in Semiconductor Manufacturing Acceptor Dopant Group IIIA

More information

Improvement of interfacial and dielectric properties of sputtered Ta 2 O 5 thin films by substrate biasing and the underlying mechanism

Improvement of interfacial and dielectric properties of sputtered Ta 2 O 5 thin films by substrate biasing and the underlying mechanism JOURNAL OF APPLIED PHYSICS 97, 114106 2005 Improvement of interfacial and dielectric properties of sputtered Ta 2 O 5 thin films by substrate biasing and the underlying mechanism A. P. Huang and Paul K.

More information

Microtexture measurement of copper damascene line with EBSD

Microtexture measurement of copper damascene line with EBSD Material Science Forum Vols. 408-412(2002) pp. 529-534 2002 Trans Tech Publications, Switzerland Microtexture measurement of copper damascene line with EBSD Dong-Ik Kim 1*, Jong-Min Paik 1, Young-Chang

More information

Characteristics of interface between Ta 2 O 5 thin film and Si (100) substrate

Characteristics of interface between Ta 2 O 5 thin film and Si (100) substrate urface & Coatings Technology 2 (25) 1714 1718 www.elsevier.com/locate/surfcoat Characteristics of interface between 2 5 thin film and (1) substrate A.P. Huang, Paul K. Chu * Department of Physics and Materials

More information

Optimization of the Sputtering Process for Depositing Composite Thin Films

Optimization of the Sputtering Process for Depositing Composite Thin Films Journal of the Korean Physical Society, Vol. 40, No. 3, March 2002, pp. 511 515 Optimization of the Sputtering Process for Depositing Composite Thin Films M. Farooq Pakistan Council of Renewable Energy

More information

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process Chapter 3 A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process 3.1 Introduction Low-temperature poly-si (LTPS) TFTs

More information

Surface composites: A new class of engineered materials

Surface composites: A new class of engineered materials Journal of MATERIALS RESEARCH Welcome Comments Help Surface composites: A new class of engineered materials Rajiv Singh and James Fitz-Gerald Department of Materials Science and Engineering, University

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

Supporting Information for: Bendable Inorganic Thin-Film Battery for Fully Flexible Electronic Systems

Supporting Information for: Bendable Inorganic Thin-Film Battery for Fully Flexible Electronic Systems Supporting Information for: Bendable Inorganic Thin-Film Battery for Fully Flexible Electronic Systems By Min Koo, Kwi-Il Park, Seung Hyun Lee, Minwon Suh, Duk Young Jeon, Jang Wook Choi, Kisuk Kang, and

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 32 IC BJT - From junction isolation to LOCOS So, by now, we have completed all

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Semiconductor Device Fabrication

Semiconductor Device Fabrication 5 May 2003 Review Homework 6 Semiconductor Device Fabrication William Shockley, 1945 The network before the internet Bell Labs established a group to develop a semiconductor replacement for the vacuum

More information

Hei Wong.

Hei Wong. Defects and Disorders in Hafnium Oxide and at Hafnium Oxide/Silicon Interface Hei Wong City University of Hong Kong Email: heiwong@ieee.org Tokyo MQ2012 1 Outline 1. Introduction, disorders and defects

More information

TITANIUM MACROPARTICLE FRACTION SUPPRESSION WITH STEERED ARC AND NEGATIVE PULSE BIASING

TITANIUM MACROPARTICLE FRACTION SUPPRESSION WITH STEERED ARC AND NEGATIVE PULSE BIASING Jr. of Industrial Pollution Control ()(06) pp 06-0 www.icontrolpollution.com Research TITANIUM MACROPARTICLE FRACTION SUPPRESSION WITH STEERED ARC AND NEGATIVE PULSE BIASING RYABCHIKOV AI, SIVIN DO, ANANIN

More information

Hollow Cathode Plasma Sources for Plasma Enhanced ALD and PECVD: Properties and Advantages

Hollow Cathode Plasma Sources for Plasma Enhanced ALD and PECVD: Properties and Advantages Hollow Cathode Plasma Sources for Plasma Enhanced ALD and PECVD: Properties and Advantages 2018 Contents Introduction What is a hollow cathode? Reduced plasma damage High flux radical sources Reduced oxygen

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Crystalline Silicon Solar Cells With Two Different Metals Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8588,

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Solar Selective Absorber Coating Methods Plasma Processes

Solar Selective Absorber Coating Methods Plasma Processes Solar Selective Absorber Coating Methods Plasma Processes Paul Gantenbein & Elimar Frank SPF - Institut für Solartechnik University of Applied Sciences Rapperswil (HSR) Optical properties of a selective

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Performance Predictions for Scaled Process-induced Strained-Si CMOS Performance Predictions for Scaled Process-induced Strained-Si CMOS G Ranganayakulu and C K Maiti Department of Electronics and ECE, IIT Kharagpur, Kharagpur 721302, India Abstract: Device and circuit

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma Jam-Wem Lee 1, Yiming Li 1,2, and S. M. Sze 1,3 1 Department of Nano Device Technology, National Nano Device Laboratories, Hsinchu,

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Ceramic Processing Research

Ceramic Processing Research Journal of Ceramic Processing Research. Vol. 11, No. 1, pp. 100~106 (2010) J O U R N A L O F Ceramic Processing Research Factors affecting surface roughness of Al 2 O 3 films deposited on Cu substrates

More information

(12) United States Patent (10) Patent No.: US 6,297,162 B1. Jang et al. (45) Date of Patent: Oct. 2, Primary Examiner Benjamin L.

(12) United States Patent (10) Patent No.: US 6,297,162 B1. Jang et al. (45) Date of Patent: Oct. 2, Primary Examiner Benjamin L. USOO6297162B1 (12) United States Patent (10) Patent No.: US 6,297,162 B1 Jang et al. (45) Date of Patent: Oct. 2, 2001 (54) METHOD TO REDUCE SILICON 5,930,627 7/1999 Zhou et al.... 438/257 OXYNTRIDE ETCH

More information

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb* International Forum on Energy, Environment and Sustainable Development (IFEESD 2016) Effect of annealing temperature on the electrical properties of HfAlO thin films Chun Lia, Zhiwei Heb* Department of

More information

Doping and Oxidation

Doping and Oxidation Technische Universität Graz Institute of Solid State Physics Doping and Oxidation Franssila: Chapters 13,14, 15 Peter Hadley Technische Universität Graz Institute of Solid State Physics Doping Add donors

More information

Light Emission Analysis of Trench Gate Oxides of Power Devices

Light Emission Analysis of Trench Gate Oxides of Power Devices Special Issue Recent R&D Activities of Power Devices for Hybrid ElectricVehicles 17 Research Report Light Emission Analysis of Trench Gate Oxides of Power Devices Masanori Usui, Takahide Sugiyama, Masayasu

More information

Plasma Nitriding in Modern Cold Wall Units *

Plasma Nitriding in Modern Cold Wall Units * Plasma Nitriding in Modern Cold Wall Units * Moderm Plasma Nitriding Unit Plasma nitriding is set apart from other nitriding processes by a series of distinctive properties, such as effective nitriding

More information

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer

The Effect of Interfacial Roughness on the Electrical Properties of Organic Thin Film Transistors with Anisotropic Dielectric Layer Mol. Cryst. Liq. Cryst., Vol. 476, pp. 157=[403] 163=[409], 2007 Copyright # Taylor & Francis Group, LLC ISSN: 1542-1406 print=1563-5287 online DOI: 10.1080/15421400701735673 The Effect of Interfacial

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Chapter 3 CMOS processing technology

Chapter 3 CMOS processing technology Chapter 3 CMOS processing technology (How to make a CMOS?) Si + impurity acceptors(p-type) donors (n-type) p-type + n-type => pn junction (I-V) 3.1.1 (Wafer) Wafer = A disk of silicon (0.25 mm - 1 mm thick),

More information

Influence of chromium buffer layer on Cr/ta-C composite films

Influence of chromium buffer layer on Cr/ta-C composite films Influence of chromium buffer layer on Cr/ta-C composite films Y. Liu 1,X.Yu* 1,L.Ma 1, C. B. Wang 1 and M. Hua 2 Cr buffer layers of six different thicknesses (100, 200, 300, 400, 500 and 600 nm) were

More information

Fabrication and application of high quality diamond coated. CMP pad conditioners

Fabrication and application of high quality diamond coated. CMP pad conditioners Fabrication and application of high quality diamond coated CMP pad conditioners Hua Wang 1,a, Fanghong Sun 1,b* 1 School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai, 200240, China

More information

Gencoa Product Portfolio

Gencoa Product Portfolio Gencoa offer the following range of products & process technology for the thin film industry developed over the last 20 years Planar Magnetrons Plasma Pre- Treaters Reactive Gas Controllers Gencoa Product

More information

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer

the surface of a wafer, usually silicone. In this process, an oxidizing agent diffuses into the wafer Analysis of Oxide Thickness Measurement Techniques of SiO2: Nanometrics Nanospec Reflectometer and Color Chart Eman Mousa Alhajji North Carolina State University Department of Materials Science and Engineering

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information