Fabrication and Characterization of Electrical Interconnects and Microfluidic Cooling for 3D ICS

Size: px
Start display at page:

Download "Fabrication and Characterization of Electrical Interconnects and Microfluidic Cooling for 3D ICS"

Transcription

1 Heat Transfer Engineering ISSN: (Print) (Online) Journal homepage: Fabrication and Characterization of Electrical Interconnects and Microfluidic Cooling for 3D ICS With Silicon Interposer Hanju Oh, Yue Zhang, Li Zheng, Gary S. May & Muhannad S. Bakir To cite this article: Hanju Oh, Yue Zhang, Li Zheng, Gary S. May & Muhannad S. Bakir (2016): Fabrication and Characterization of Electrical Interconnects and Microfluidic Cooling for 3D ICS With Silicon Interposer, Heat Transfer Engineering, DOI: / To link to this article: Accepted author version posted online: 25 Sep Published online: 14 Jan Submit your article to this journal Article views: 20 View related articles View Crossmark data Full Terms & Conditions of access and use can be found at Download by: [Georgia Tech Library] Date: 02 February 2016, At: 06:11

2 Heat Transfer Engineering, 00(00):1 9, 2016 Copyright C Taylor and Francis Group, LLC ISSN: print / online DOI: / Fabrication and Characterization of Electrical Interconnects and Microfluidic Cooling for 3D ICS With Silicon Interposer HANJU OH, YUE ZHANG, LI ZHENG, GARY S. MAY, and MUHANNAD S. BAKIR School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia, USA Heat generation in high-performance three-dimensional integrated circuits (3D ICs) is a significant challenge due to limited heat removal paths and high power density. To address this challenge, this paper presents an embedded microfluidic heat sink (MFHS) for such high-performance 3D ICs. In the proposed 3D IC system, each tier contains an embedded MFHS, along with high-aspect-ratio (23:1) through-silicon vias (TSVs) routed through the MFHS. In each tier, solder-based electrical and fluidic inputs/outputs are co-fabricated with wafer-level batch fabrication. Moreover, microfluidic cooling experiments of staggered micropin-fins with embedded TSVs are presented for the first time. INTRODUCTION Three-dimensional integrated circuit (3D IC) technology has been extensively explored in recent years. By significantly shortening the interconnect length, as well as enabling heterogeneous integration of logic, memory, microelectromechanical systems, and optoelectronics, 3D ICs may reduce system power and footprint and improve performance [1, 2]. However, a key challenge for high-performance 3D applications is the significant amount of power dissipated within the stack. The reason is that both the power density of a 3D stack and the thermal resistance of the dice within the stack increase as the number of tiers increases. The latter is due to the fact that the inner dice do not have direct access to a heat sink (Figure 1a). To address this issue, innovative interlayer microfluidic cooling has been proposed for 3D ICs [3]. Due to advancements in microfabrication, more complex microstructures for improved heat sink performance have been Address correspondence to Hanju Oh, School of Electrical and Computer Engineering, Georgia Institute of Technology, 791 Atlantic Dr. NW, Pettit Bldg., Atlanta, GA 30332, USA. hanju.oh@gatech.edu Color versions of one or more of the figures in the article can be found online at fabricated and may outshine the performance of the plain microchannels proposed in [3]. Examples of more complex microfluidic heat sink structures include enhanced microchannels [5], inline micropin-fins [6], staggered micropin-fins [8 13], and pearl chains [6]. Among the various heat sink designs, the micropin-fin heat sink design has been of interest since it offers a large coolant contact area compared to other heat sink structures. Table 1 summarizes some of the micropin-fin heat sink designs used in the literature along with their performance. However, none of the aforementioned designs take into account the electrical and microfabrication constraints that through-silicon vias (TSVs) impose on microfluidic cooling. Of important consideration is chip thickness: Too thick silicon chips provide thermal resistance and hydraulic pressure drop advantages for the microfluidic heat sink (MFHS) design, but may greatly complicate TSV fabrication and degrade TSV performance (electrical parasitics are a function of length, via aspect ratio, and pitch); too thin silicon chips will greatly ease TSV fabrication and improve the electrical performance, but at the expense of making very short MFHS, which can degrade both thermal resistance (due to smaller convective surface area) and pressure drop (due to smaller hydraulic diameter). As such, the integration of a MFHS may present a number challenges to TSV technology (fabrication and electrical performance). One prior study has demonstrated the integration of TSVs with 1

3 2 H.OH ETAL. Figure 1 Schematics of (a) conventional air-cooled heat sink and (b) the proposed 3D system featuring microfluidic cooling embedded within an interposer package, high-aspect-ratio TSVs, and microbumps for electrical I/Os. micropin-fins [14]. However, that effort demonstrated only a single TSV per micropin-fin due to the large TSV diameter. Given the thickness of silicon dice with embedded microfluidic cooling, high-aspect-ratio (i.e., high height-to-diameter ratio) TSVs become necessary in such 3D ICs. Prior work [15] showed preliminary progress in the integration of multiple TSVs in a microfluidic heat sink; however, the TSVs were not electrically isolated, and were not completely etched through the wafer due to innate difficulty in high-aspect-ratio silicon etching and copper filling in a thick silicon substrate. To address the challenges, this paper presents a 3D IC technology featuring embedded microfluidic cooling, high-aspectratio TSVs, and wafer-level batch fabricated and flip-chip compatible fluidic inlets and outlets (Figure 1b). HIGH-ASPECT RATIO TSVs EMBEDDED IN MICROPIN-FIN HEAT SINK In general, embedding a microfluidic heat sink requires a die to be relatively thick since the height of the heat sink is strongly related to its cooling ability. In previous publications on microfluidic cooling [4 13], a die with an embedded MFHS is several times thicker than the thickness of dice in a 3D stack (based on the International Technology Roadmap for Semiconductors Table 1 Geometry and specification of a micropin-fin heat sink used in the literature projects [16]). This not only degrades electrical performance due to increased electrical parasitics of the TSVs (capacitance), but also limits the number of TSVs between tiers because the aspect ratio of TSVs is typically limited by fabrication. Thus, we seek to develop high-aspect-ratio TSVs to enable their integration within the MFHS and preserve the electrical benefits. In this section, we demonstrate the fabrication of TSVs with 13 μm diameter, 24 μm pitch, and 300 μm height (aspect ratio of 23:1). A four-by-four array of TSVs is integrated in micropin-fins with a diameter of 150 μm and a height of 270 μm. Fabrication Figure 2 summarizes the proposed process flow of TSV and MFHS integration. The fabrication of TSVs within micropinfins begins with a dual-side-polished silicon wafer. Silicon dioxide is deposited and patterned on the wafer as a mask during silicon etching. High-aspect-ratio vias ( 23:1) are etched using the Bosch process. Thermal oxide ( 1 μm) is then grown in a furnace to isolate the subsequent TSVs from the substrate. Titanium and copper are deposited to form a seed layer at the back side of the wafer. Next, using direct current electroplating, via holes are pinched off to form a seed layer for copper via filling. Using this newly formed layer, pulsed bottom-up Literature Heat sink diameter (μm) Heat sink height (μm) Thermal resistance (K/W) Pressure drop (kpa) Peles et al. [9] 100/ Kosar et al. [8] 50/ N/A 80/20 Liu et al. [12] 445/ / /2.6 Brunschwiler et al. [6] 50/100/200 97/ Wan et al. [10] N/A Prasher et al. [13] 55/ / /3 This work / /44.6 Normalized thermal resistance to the 1-cm 2 area. In-line micropin-fin with a pitch of 200 μm and a height of 200 μmataflow rate of 100 ml/min. Round staggered micriopin-fins of RP 1 and RP 3 at a flow rate of 100 ml/min. Fabrication process flow of high-aspect-ratio TSVs within micropin- Figure 2 fins.

4 H. OH ET AL. 3 Figure 3 A4 4 array of the fabricated high-aspect-ratio TSVs: cross-sectional view (left) and top view (right). Figure 4 SEM images of micropin-fins with high-aspect-ratio TSVs: angled view (left) and cross-sectional view (right). electroplating is performed using an Enthone DVF electroplating solution to fill the vias with copper. Over-electroplated copper (on the opposite side of the wafer) is removed using chemical mechanical polishing (CMP). Figure 3 illustrates optical images of fully filled TSVs (cross-section and top views). Following CMP, silicon is etched around the TSVs using the Bosch process to form 150-μm-diameter and 270-μm-tall micropin-fins (these dimensions were chosen based on prior thermal design consideration in which the target thermal resistance and pressure drop are 0.2 K/W and 60 kpa, respectively, at a flow rate of 80 ml/min [17]). The fabricated TSVs are 13 μm indiameter and 300 μm deep. Angled and cross-sectional views of the fabricated TSVs within the micropin-fins are shown in Figure 4. standard deviation. The theoretical TSV resistance is 32.7 m, which matches the experimental results well. ELECTRICAL AND FLUIDIC I/O FABRICATION, ASSEMBLY, AND TEST Fabrication and Assembly The fabrication process for silicon die with electrical and fluidic microbumps and embedded micropin-fin heat sinks is Electrical Measurements The resistance of the fabricated TSVs is measured using the four-point Kelvin technique. For the resistance measurements, gold pads (50 μm 20 μm) are deposited using an e-beam evaporator, as shown in Figure 5. The average resistance value for 61 measurements (Figure 6) is m with 2.72 m Figure 5 Electrical resistance measurements of TSVs using four-point Kelvin technique.

5 4 H.OH ETAL. Figure 6 Electrical resistance measurement results of the TSVs. Figure 7 Fabrication process flow of microbumps with fluidic heat sink. illustrated in Figure 7. As previously noted, the process begins with a dual-side-polished silicon wafer. A silicon dioxide layer of 3 μm is deposited on one side of the wafer using plasma-enhanced chemical vapor deposition. Next, fluidic vias and micropin-fins are etched on the other side of the wafer using two Bosch etch steps. The fluidic vias are etched halfway ( 100 μm deep etch) during the first etch step. In the second etch step, the vias are etched through (additional 200 μm of depth), while simultaneously etching the micropin-fins. Following the silicon etching steps, a titanium/copper (25 nm/250 nm) layer is sputtered on the silicon dioxide as a seed layer for electroplating. Next, copper pads for electrical and fluidic microbumps and fine-pitch interconnects are electroplated, followed by electroplating of nickel and solder on the pads. After electroplating copper, nickel, and solder, the seed layer is removed, and the oxide membrane suspended over the fluidic vias is opened. Figure 8 illustrates the fabricated electrical and fluidic microbumps, micropin-fins, and fluidic vias with dimensions. After fabrication, the silicon die is flip-chip bonded onto a silicon interposer using a flip-chip bonder. Verification by Electrical and Fluidic Tests Following assembly, electrical and fluidic tests are conducted to verify the bonding and the sealing quality. The resistance of a single electrical microbump is measured using the four-point Kelvin technique. Figure 9 illustrates the resistance of eight electrical microbumps. The average resistance is approximately 13.5 m Ω. Next, the sealing quality of the fluidic microbumps is tested. First, the micropin-fin heat sink is capped with a glass cover (to facilitate visual inspection), as shown in Figure 10. Next, manifolds and tubes are attached to the back side of the interposer, and the pressure drop between the inlet and outlet ports is measured. During testing, distilled water is pumped into the die continuously for 4 h at flow rates of 30 and 50 ml/min. Figure 8 SEM images of the fabricated micropin-fins, electrical microbumps, fluidic microbumps, and fluidic vias.

6 H. OH ET AL. 5 Table 2 Die and bonding parameters Parameter Value Die size 1cm 1cm Number of fluidic microbumps 42 (21 each row) Number of electrical microbumps 22,500 ( ) Temperature ramp rate 2 C/s Peak temperature 230 o C Peak temperature duration 15 s Bonding force 7N MICROFLUIDIC TESTING OF A MICROPIN-FIN HEAT SINK WITH EMBEDDED TSVs Figure 9 Electrical resistance measurement results of an electrical microbump. The measured pressure drop at each flow rate is very stable, as shown in Figure 11. No obvious pressure drop change occurs and no leakage was visually observed, which indicates stable fluidic sealing. The bonding profile and die parameters of the assembled test chip are summarized in Table 2. Figure 10 Capping the micropin-fin heat sink and attaching inlet/outlet ports and tubes for fluidic testing. The critical design dimensions of the micropin-fins are shown in Figure 12: Diameter (D), transverse pitch (P t ), and lateral pitch (P l ) are shown, respectively. In our experiments, the micropin-fins are fabricated in a staggered pattern, since this results in improved cooling due to the obstruction to fluidic flow [6]. Figure 13 shows an SEM image of the staggered micropinfin array with a pictorial depiction of fluid flowing across the Figure 12 Layout of the staggered micropin-fin array; diameter (D), transverse pitch (Pt), and lateral pitch (Pl) are shown. Figure 11 Fluidic test results (continuous distilled water pumping for 4 h at flow rates of 30 and 50 ml/min). Figure 13 SEM image of the staggered micropin-fin array with a pictorial depiction of fluid flowing across the array.

7 6 H.OH ETAL. Figure 14 Assembly of the fabricated sample for thermal measurements. fabricated micropin-fins. To emulate chip power dissipation and enable temperature sensing, platinum is used as heaters and as thermometers. Assembly and Calibration The assembly flow of the testbed is shown in Figure 14. First, the TSV-integrated micropin-fin heat sink was capped with a glass wafer using a thin epoxy film (approximately a few micrometers thick). After fabricating the fluidic vias, two external connectors serving as the inlet and outlet for the cooling fluid were connected to the testbed. Figures 15a and 15b show the micropin-fin array (back side) and the fully assembled sample (front side), respectively. In the center of the sample (Figure 14), platinum is patterned as a resistance-temperature Figure 16 The relationship between resistance and temperature of the platinum heater/rtd. detector (RTD) in a spiral shape; this design yields a platinum resistance value of 42 at room temperature. Figure 16 illustrates the calibrated results of the platinum RTD, showing a linear relationship between resistance and temperature. Measurement Setup Figure 17 illustrates a schematic of the microfluidic cooling experimental setup in which the flow rate, pressure drop, and temperature of the testbed are measured as a function of power dissipation. The thermal testbed contains a platinum heater/rtd and is interconnected to a nearby distilled water reservoir using a Cole-Parmer gear pump with ml/rev. A polyester-based filter is connected to the pump outlet to eliminate particles larger than 20 μm, which may block the testbed during experiments. To measure the pressure drop of the testbed, a differential pressure gauge (error of 1 kpa) is connected to the inlet and the outlet of the sample, while the coolant flow rate was measured at the using a KOBOLD acrylic flow meter with an accuracy of 5 ml/min and a measurement range of 10 to 100 ml/min. Inlet and outlet temperature measurements were made at the water reservoirs using J-type thermocouples, which have a Figure 15 The testbed for thermal measurement: (a) the micropin-fin array and (b) the assembled sample. Figure 17 A schematic of the thermal testbed with a microfluidic heat sink.

8 H. OH ET AL. 7 Figure 18 Junction temperature increases as a function of power. temperature accuracy of 1 C. Note that the distilled water flows through the gear pump, the pressure gauge, and a total of 50 cm tube length before reaching the inlet port of the testbed, and thus the actual testbed inlet temperature will increase relative to the reservoir temperature. In addition, an Agilent power analyzer is directly connected to the on-chip platinum heater to apply constant power during testing. To thermally insulate the sample from the ambient, a thick epoxy layer was deposited on top of the heater. Microfluidic Cooling Testing The first step in the thermal measurements is to turn on the gear pump at a fixed flow rate, allowing the distilled water to flow. Next, a small value of power is applied to the platinum heater to generate heat. Meanwhile, since the resistance of the platinum increases linearly with temperature, the heater can serve as a temperature sensor. By detecting the resistance of the platinum heater, the junction temperature of the sample is calculated as T j = T 0 + r(t j) r(t 0 ) (1) α r(t 0 ) where T 0 is the reference temperature, r(t j ) and r(t 0 ) are the resistance of the RTD at temperatures T j and T 0, and α is the temperature coefficient of resistance extracted from the calibration of the RTD. With the measured junction temperature, the total thermal resistance of the micropin-fin heat sink, or R total, can be calculated as ( ) Tj T in R total = (2) P diss where T j is the chip junction temperature, T in is the coolant temperature at an inlet, and P diss is the total dissipated power across the chip [6]. In this experiment, three power levels (25, 50, and 75 W/cm 2 ) are applied at two flow rates, resulting in a total of six thermal measurements. At the maximum power level of 75 W/cm 2, the micropin-fin heat sink yields a junction temperature of 43 C and 40 C with a pressure drop of 28.4 and 44.6 kpa at a flow rate of 60 and 80 ml/min, respectively, as shown in Figure 18. This yields a total thermal resistance of 0.33 and 0.28 K/W using Eq. (2), respectively. The theoretical thermal resistance for each case is calculated using equations proposed in references 15 and 18, and the pressure drop for each case is extracted from ANSYS simulations, as summarized in Table 3. CONCLUSIONS This paper presented novel technology enablers for liquidcooled 3D integration, including a micropin-fin heat sink with high-aspect ratio TSVs, as well as fine-pitch electrical and fluidic microbumps. High-aspect-ratio TSVs (aspect ratio of 23:1) were fabricated within micropin-fins and electrically characterized by four-point Kelvin measurements. Electrical and fluidic microbumps were co-fabricated, assembled, and tested, without fluid leakage. Microfluidic cooling experiments were conducted using the fabricated testbed containing a staggered micropin-fin heat sink with embedded TSVs and distilled water as the coolant. Thermal resistance and pressure drop were experimentally extracted for different flow rates. NOMENCLATURE 3D IC three-dimensional integrated circuit CMP chemical mechanical polishing D diameter of micropin-fins, μm I/O input/output MFHS microfluidic heat sink P diss dissipated power, W P drop pressure drop, kpa Table 3 Results of the thermal experiments P l lateral pitch of micropin-fins, μm P t transverse pitch of micropin-fins, μm 60 ml/min 80 ml/min r resistance of platinum heater, Flow rate Theo./sim. Measured Theo./sim. Measured RTD resistance-temperature detector R total (K/W) R total total thermal resistance, K/W P drop (kpa) T in coolant temperature at an inlet, C α (K 1 ) T j chip junction temperature, C T 0 reference temperature, C

9 8 H.OH ETAL. TSV Greek Symbols through-silicon via α temperature coefficient, K 1 ACKNOWLEDGMENTS This work has been carried out in part under support from Defense Advanced Research Projects Agency (DARPA) contracts N and HR The authors acknowledge Enthone for providing the electroplating solution and Cabot Microelectronics Corporation for providing CMP slurries. We also acknowledge Futurrex for providing the NR photoresist. REFERENCES [1] Souri, S. J., Banerjee, K., Mehrotra, A., and Saraswat, K. C., Multiple Si layer ICs: Motivation, Performance Analysis, and Design Implications, Proc. 37th Annual Design Automation Conf., Los Angeles, CA, June 5 9, pp , [2] Banerjee, K., Souri, S. J., Kapur, P., and Saraswat, K. C., 3-D ICs: A Novel Chip Design for Improving Deep- Submicrometer Interconnect Performance and Systemson-Chip Integration, Proc. of the IEEE, vol. 89, no. 5, pp , [3] Tuckerman, D. B., and Pease, R. F. W., High-Performance Heat Sinking for VLSI, IEEE Electronic Device Letters, vol. 2, pp , [4] Bakir, M., King, C., Sekar, D., Thacker, H., Dang, B., Huang, G., Naeemi, A., and Meindl, J. D., 3D Heterogeneous Integrated Systems: Liquid Cooling, Power Delivery, and Implementation, Proc. Custom Integrated Circuits Conf., San Jose, CA, September 21 24, pp , [5] Steinke, M. E., and Kandlikar, S. G., Single-Phase Liquid Heat Transfer in Plain and Enhanced Microchannels, Proc. International Conference on Nanochannels, Microchannels and Minichannels, pp. 1 9, Limerick, Ireland, June 19-21, [6] Brunschwiler, T., Michel, B., Rothuizen, H., Kloter, U., Wunderle, B., Oppermann, H., and Reichl, H., Interlayer Cooling Potential in Vertically Integrated Packages, Microsystem Technologies, vol. 15, pp , [7] Ndao, S., Peles, Y., and Jensen, M., Multi-Objective Thermal Design Optimization and Comparative Analysis of Electronics Cooling Technologies, International Journal of Heat and Mass Transfer, vol. 52, issues 19 20, pp , [8] Kosar, A., Mishra, C., and Peles, Y., Laminar Flow Across a Bank of Low Aspect Ratio Micro Pin Fins, Journal of Fluids Engineering, vol. 127, pp , [9] Peles, Y., Koşar, A., Mishra, C., Kuo, C.-J., and Schneider, B., Forced Convective Heat Transfer Across a Pin Fin Micro Heat Sink, International Journal of Heat and Mass Transfer, vol. 48, no. 17, pp , [10] Wan, Z., Yueh, W., Joshi, Y., and Mukhopadhyay, S., Enhancement in CMOS Chip Performance Through Microfluidic Cooling, Proc. 20th International Workshop on Thermal Investigations of ICs and Systems, vol. 2014, no. 2, pp. 1 5, Greenwich, London, UK, September 24 26, [11] Tullius, J. F., Tullius, T. K., and Bayazitoglu, Y., Optimization of Short Micro Pin Fins in Minichannels, International Journal of Heat and Mass Transfer, vol. 55, no , pp , [12] Liu, M., Liu, D., Xu, S., and Chen, Y., Experimental Study on Liquid Flow and Heat Transfer in Micro Square Pin Fin Heat Sink, International Journal of Heat and Mass Transfer, vol. 54, no , pp , Dec [13] Prasher, R. S., Dirner, J., Chang, J.-Y., Myers, A., Chau, D., He, D., and Prstic, S., Nusselt Number and Friction Factor of Staggered Arrays of Low Aspect Ratio Micropin-Fins Under Cross Flow for Water as Fluid, Journal of Heat Transfer, vol. 129, no. 2, pp. 141, [14] Madhour, Y., Zervas, M., Schlottig, G., Brunschwiler, T., Leblebici, Y., Thome, J. R., and Michel, B., Integration of Intra Chip Stack Fluidic Cooling Using Thin-Layer Solder Bonding, Proc. Int. 3D Syst. Integr. Conf., pp. 1 8, San Francisco, CA, October 2 4, [15] Zhang, Y., Dembla, A., and Bakir, M. S., Silicon Micropin- Fin Heat Sink With Integrated TSVs for 3-D ICs: Tradeoff Analysis and Experimental Testing, IEEE Trans. Components, Packag. Manuf. Technol., vol. 3, no. 11, pp , [16] International Technology Roadmap for Semiconductors, 2012, [17] Zhang, Y., Zheng, L., and Bakir, M. S., 3-D Stacked Tier- Specific Microfluidic Cooling for Heterogeneous 3-D ICs, IEEE Trans. Components, Packag. Manuf. Technol., vol. 3, no. 11, pp , November [18] Zhukauskas, A. A., Heat Transfer From Tubes in Cross Flow, Advances in Heat Transfer, vol. 8, no. 1, pp , Hanju Oh received the B.S. degree in electrical and computer engineering from Hanyang University, Seoul, Korea, in 2010 and the M.S. degree from the Georgia Institute of Technology, Atlanta, GA, in He is currently pursuing his Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology, Atlanta, GA.

10 H. OH ET AL. 9 Yue Zhang received the B.S. degree in electrical engineering and automation from Harbin Institute of Technology, China, and from University of Science and Technology of Lille, France, in 2007, and the M.S. degree in micro- and nanotechnology from University of Science and Technology of Lille, France, in She is currently pursuing her Ph.D. degree in electrical engineering at the Georgia Institute of Technology, Atlanta, GA. Li Zheng received the B.S. degree from Zhejiang University, Hangzhou, China, in 2006, and dual M.S. degree in electrical and computer engineering from Shanghai Jiao Tong University, Shanghai, China, and Georgia Institute of Technology, Atlanta, GA, in He is currently pursuing his Ph.D. degree in electrical and computer engineering at the Georgia Institute of Technology. His research interests include embedded microfluidic cooling, power delivery modeling, and chip-to-chip signaling modeling for highperformance silicon interposers and 3D integrated systems. Gary S. May received the B.S. degree in electrical engineering from the Georgia Institute of Technology in 1985 and the M.S. and Ph.D. degrees in electrical engineering and computer science from the University of California at Berkeley in 1987 and 1991, respectively. He is currently Dean of the College of Engineering at Georgia Tech. In that capacity, he serves as the chief academic officer of the college and provides leadership to more than 400 faculty members and more than 13,000 students in the fourth-ranked engineering program in the nation. Prior to his current appointment, he was the Steve W. Chaddick School Chair of the School of Electrical and Computer Engineering at Georgia Tech from His research is in the field of computer-aided manufacturing of integrated circuits, and his interests include semiconductor process and equipment modeling, process simulation and control, automated process and equipment diagnosis, and yield modeling. Dr. May was editor-in-chief of IEEE Transactions on Semiconductor Manufacturing during and was a National Science Foundation National Young Investigator ( ). He was a National Science Foundation and an AT&T Bell Laboratories graduate fellow, and has worked as a member of the technical staff at AT&T Bell Laboratories in Murray Hill, NJ. He is an IEEE fellow, as well as a fellow of the American Association for the Advancement of Science and a member of the National Advisory Board of the National Society of Black Engineers (NSBE). Muhannad S. Bakir received the B.E.E. degree (summa cum laude) from Auburn University, Auburn, AL, in 1999 and the M.S. and Ph.D. degrees in electrical and computer engineering from the Georgia Institute of Technology (Georgia Tech) in 2000 and 2003, respectively. He is currently an associate professor and the ON Semiconductor Junior Professor in the School of Electrical and Computer Engineering at Georgia Tech. Dr. Bakir is the recipient of the 2013 Intel Early Career Faculty Honor Award, 2012 DARPA Young Faculty Award, and 2011 IEEE CPMT Society Outstanding Young Engineer Award, and was an Invited Participant in the 2012 National Academy of Engineering Frontiers of Engineering Symposium. He is also a recipient of the Semiconductor Research Corporation (SRC) Inventor Recognition Awards (2002, 2005, 2009). He and his research group have received 14 conference and student paper awards, including five from the IEEE Electronic Components and Technology Conference (ECTC), four from the IEEE International Interconnect Technology Conference (IITC), and one from the IEEE Custom Integrated Circuits Conference (CICC). Dr. Bakir is an editor of IEEE Transactions on Electron Devices, an associate editor of IEEE Transactions on Components, Packaging and Manufacturing Technology, and was a guest editor of the June 2011 special issue of IEEE Journal of Selected Topics in Quantum Electronics. He is also a member of the International Technology Roadmap for Semiconductors (ITRS) technical working group for Assembly and Packaging (AP).

Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems

Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems Li Zheng 1, Yang Zhang, Xuchen Zhang and Muhannad S. Bakir 2 School of Electrical and Computer Engineering Georgia

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

Within-Tier Cooling and Thermal Isolation Technologies for Heterogeneous 3D ICs

Within-Tier Cooling and Thermal Isolation Technologies for Heterogeneous 3D ICs 1 Within-Tier Cooling and Thermal Isolation Technologies for Heterogeneous 3D ICs Yue Zhang, Hanju Oh, and Muhannad S. Bakir School of Electrical & Computer Engineering, Georgia Institute of Technology,

More information

WITH continued aggressive CMOS scaling, interconnect

WITH continued aggressive CMOS scaling, interconnect IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 3, NO. 11, NOVEMBER 2013 1811 3-D Stacked Tier-Specific Microfluidic Cooling for Heterogeneous 3-D ICs Yue Zhang, Student Member,

More information

3D Stacking of Chips with Electrical and Microfluidic I/O Interconnects

3D Stacking of Chips with Electrical and Microfluidic I/O Interconnects 3D Stacking of Chips with Electrical and Microfluidic I/O Interconnects Calvin R. King, Jr., Deepak Sekar, Muhannad S. Bakir, Bing Dang #, Joel Pikarsky, and James D. Meindl Georgia Institute of Technology,

More information

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 10, OCTOBER

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 10, OCTOBER IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 10, OCTOBER 2015 1379 A Silicon Interposer Platform Utilizing Microfluidic Cooling for High-Performance Computing Systems

More information

High Aspect Ratio TSVs in Micropin-Fin Heat Sinks for 3D ICs

High Aspect Ratio TSVs in Micropin-Fin Heat Sinks for 3D ICs 2012 12th IEEE International Conference on Nanotechnology (IEEE-NANO) The International Conference Centre Birmingham 20-23 August 20112, Birmingham, United Kingdom High Aspect Ratio TSVs in Micropin-Fin

More information

AS MORE functionality and higher density logic continue

AS MORE functionality and higher density logic continue IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 10, OCTOBER 2017 1617 Monolithic Integration of a Micropin-Fin Heat Sink in a 28-nm FPGA Thomas E. Sarvey, Yang Zhang,

More information

The Hidden Risk of Invisible Airflow Imbalance in an Efficient Contained Data Center

The Hidden Risk of Invisible Airflow Imbalance in an Efficient Contained Data Center JUNE 2016 electronics-cooling.com The Hidden Risk of Invisible Airflow Imbalance in an Efficient Contained Data Center Application of Phase Change Materials in Handheld Computing Devices Flow Visualization

More information

Electrical, Optical and Fluidic Through-Silicon Vias for Silicon Interposer Applications

Electrical, Optical and Fluidic Through-Silicon Vias for Silicon Interposer Applications Electrical, Optical and Fluidic Through-Silicon Vias for Silicon Interposer Applications Mahavir S. Parekh, Paragkumar A. Thadesar and Muhannad S. Bakir Georgia Institute of Technology, 791 Atlantic Drive,

More information

THERMAL MANAGEMENT OF THREE-DIMENSIONAL INTEGRATED CIRCUITS USING INTER-LAYER LIQUID COOLING

THERMAL MANAGEMENT OF THREE-DIMENSIONAL INTEGRATED CIRCUITS USING INTER-LAYER LIQUID COOLING THERMAL MANAGEMENT OF THREE-DIMENSIONAL INTEGRATED CIRCUITS USING INTER-LAYER LIQUID COOLING A Doctoral Dissertation Presented to The Academic Faculty by Calvin R. King, Jr. In Partial Fulfillment of the

More information

Design Considerations for Cooling High Heat Flux IC Chips With Microchannels

Design Considerations for Cooling High Heat Flux IC Chips With Microchannels Design Considerations for Cooling High Heat Flux IC Chips With Microchannels Satish G. Kandlikar Rochester Institute of Technology Editor s notes: Thermal emergency in integrated circuits has become an

More information

Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation

Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation Chaoqi Zhang, Hyung Suk Yang, and Muhannad S. Bakir School of Electrical and Computer Engineering Georgia Institute

More information

Reliability Assessment of Hydrofoil-Shaped Micro-Pin Fins

Reliability Assessment of Hydrofoil-Shaped Micro-Pin Fins Reliability Assessment of Hydrofoil-Shaped Micro-Pin Fins 1 David C. Woodrum, 2 Xuchen Zhang, 1 Peter A. Kottke, 1 Yogendra K. Joshi, 1 Andrei G. Fedorov, 2 Muhannad S. Bakir, and 1 Suresh K. Sitaraman

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Reliability Study of Micro-Pin Fin Array for On-Chip Cooling

Reliability Study of Micro-Pin Fin Array for On-Chip Cooling Reliability Study of Micro-Pin Fin Array for On-Chip Cooling David C. Woodrum, Thomas Sarvey, Muhannad S. Bakir, Suresh K. Sitaraman* Georgia Institute of Technology Atlanta, GA, U.S.A. 30332 *Email: suresh.sitaraman@me.gatech.edu

More information

Development and Characterization of Large Silicon Microchannel Heat Sink Packages for Thermal Management of High Power Microelectronics Modules

Development and Characterization of Large Silicon Microchannel Heat Sink Packages for Thermal Management of High Power Microelectronics Modules Development and Characterization of Large Silicon Microchannel Heat Sink Packages for Thermal Management of High Power Microelectronics Modules Hengyun Zhang*, Qingxin Zhang*, Ser-Choong Chong*, Damaruganath

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

Mechanically Flexible Interconnects with Highly Scalable Pitch and Large Stand-off Height for Silicon Interposer Tile and Bridge Interconnection

Mechanically Flexible Interconnects with Highly Scalable Pitch and Large Stand-off Height for Silicon Interposer Tile and Bridge Interconnection Mechanically Flexible Interconnects with Highly Scalable Pitch and Large Stand-off Height for Silicon Interposer Tile and Bridge Interconnection Chaoqi Zhang, Hyung Suk Yang, and Muhannad S. Bakir School

More information

Performance Improvement of a Micro Channel Heat Sink

Performance Improvement of a Micro Channel Heat Sink Performance Improvement of a Micro Channel Heat Sink Guthula Satish Mr. K Bala Murali Krishna Mr. D Kishor Babu ABSTRACT: Thermal management of components is the most important consideration for electronic

More information

VLSI Design and Simulation

VLSI Design and Simulation VLSI Design and Simulation CMOS Processing Technology Topics CMOS Processing Technology Semiconductor Processing How do we make a transistor? Fabrication Process Wafer Processing Silicon single crystal

More information

Integration of Intra Chip Stack Fluidic Cooling using Thin-Layer Solder Bonding

Integration of Intra Chip Stack Fluidic Cooling using Thin-Layer Solder Bonding Integration of Intra Chip Stack Fluidic Cooling using Thin-Layer Solder Bonding Yassir Madhour 1, 3*, Michael Zervas 2, 3, Gerd Schlottig 3, Thomas Brunschwiler 3, Yusuf Leblebici 2, John Richard Thome

More information

Fabrication and Characterization of Novel Photodefined Polymer-Enhanced Through-Silicon Vias for Silicon Interposers

Fabrication and Characterization of Novel Photodefined Polymer-Enhanced Through-Silicon Vias for Silicon Interposers Fabrication and Characterization of Novel Photodefined Polymer-Enhanced Through-Silicon Vias for Silicon Interposers Paragkumar A. Thadesar and Muhannad S. Bakir Georgia Institute of Technology 791 Atlantic

More information

Performance Improvement on Water-cooled Cold-Plate

Performance Improvement on Water-cooled Cold-Plate Proceedings of the 4th WSEAS International Conference on Heat and Mass Transfer, Gold Coast, Queensland, Australia, January 17-19, 2007 104 Performance Improvement on Water-cooled Cold-Plate SHYAN-FU CHOU,

More information

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Seung Wook Yoon, Yaojian Lin and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 E-mail

More information

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Hisada et al.: FEM Analysis on Warpage and Stress at the Micro Joint (1/6) [Technical Paper] FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Takashi Hisada*, Yasuharu Yamada*,

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Effect of Multiple Flip-Chip Assembly on Joint Strength of AuSn solder in Hybrid Compact Optoelectronic Module

Effect of Multiple Flip-Chip Assembly on Joint Strength of AuSn solder in Hybrid Compact Optoelectronic Module 1 Effect of Multiple Flip-Chip Assembly on Joint Strength of AuSn solder in Hybrid Compact Optoelectronic Module K.-M. Chu, Student Member, IEEE, J.-S. Lee, H. Oppermann, G. Engelmann, J. Wolf, H. Reichl,

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

PARASITIC EFFECTS REDUCTION FOR WAFER-LEVEL PACKAGING OF RF-MEMS

PARASITIC EFFECTS REDUCTION FOR WAFER-LEVEL PACKAGING OF RF-MEMS Stresa, Italy, 26-28 April 2006 J. Iannacci 1,2, J. Tian 1, S.M. Sinaga 1, R. Gaddi 2, A. Gnudi 2, and M. Bartek 1 1) HiTeC-DIMES, Delft University of Technology, Mekelweg 4, 2628 CD Delft, the Netherlands

More information

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW

SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW SIDE WALL WETTING INDUCED VOID FORMATION DUE TO SMALL SOLDER VOLUME IN MICROBUMPS OF Ni/SnAg/Ni UPON REFLOW Y. C. Liang 1, C. Chen 1, *, and K. N. Tu 2 1 Department of Materials Science and Engineering,

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/336/6084/1007/dc1 Supplementary Materials for Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper Hsiang-Yao Hsiao, Chien-Min Liu, Han-wen Lin,

More information

JOINT INDUSTRY STANDARD

JOINT INDUSTRY STANDARD JOINT INDUSTRY STANDARD AUGUST 1999 Semiconductor Design Standard for Flip Chip Applications ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Semiconductor Design Standard for Flip Chip Applications About

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

Tapered Walls Via Holes Manufactured Using DRIE Variable Isotropy Process

Tapered Walls Via Holes Manufactured Using DRIE Variable Isotropy Process Tapered Walls Via Holes Manufactured Using DRIE Variable Isotropy Process D. VASILACHE, S. RONCHIN, S. COLPO, B. MARGESIN, F. GIACOMOZZI, S. GENNARO FBK-irst, via Sommarive 18-38123 Trento, Italy; Tel.:

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

AND TESTING OF A CARBON FOAM BASED SUPERCOOLER FOR HIGH HEAT FLUX COOLING IN OPTOELECTRONIC PACKAGES

AND TESTING OF A CARBON FOAM BASED SUPERCOOLER FOR HIGH HEAT FLUX COOLING IN OPTOELECTRONIC PACKAGES Proceedings of the ASME 2009 ASME 2009 InterPACK Conference IPACK2009 July 19-23, 2009, San Francisco, California, USA InterPACK2009-89008 IPACK2009-89008 DESIGN AND TESTING OF A CARBON FOAM BASED SUPERCOOLER

More information

Bare Die Assembly on Silicon Interposer at Room Temperature

Bare Die Assembly on Silicon Interposer at Room Temperature Minapad 2014, May 21 22th, Grenoble; France Bare Die Assembly on Silicon Interposer at Room Temperature W. Ben Naceur, F. Marion, F. Berger, A. Gueugnot, D. Henry CEA LETI, MINATEC 17, rue des Martyrs

More information

3DIC Integration with TSV Current Progress and Future Outlook

3DIC Integration with TSV Current Progress and Future Outlook 3DIC Integration with TSV Current Progress and Future Outlook Shan Gao, Dim-Lee Kwong Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) Singapore 9 September, 2010 1 Overview

More information

A MULTI-CHANNEL COOLING SYSTEM FOR MULTIPLE HEAT SOURCE

A MULTI-CHANNEL COOLING SYSTEM FOR MULTIPLE HEAT SOURCE THERMAL SCIENCE, Year 2016, Vol. 20, No. 6, pp. 1991-2000 1991 A MULTI-CHANNEL COOLING SYSTEM FOR MULTIPLE HEAT SOURCE by Shanglong XU *, Weijie WANG, Zongkun GUO, Xinglong HU, and Wei GUO Department of

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

ENHANCED FILMWISE CONDENSATION WITH THIN POROUS COATING

ENHANCED FILMWISE CONDENSATION WITH THIN POROUS COATING Proceedings of the First Pacific Rim Thermal Engineering Conference, PRTEC March 13-17, 2016, Hawaii's Big Island, USA PRTEC-14728 ENHANCED FILMWISE CONDENSATION WITH THIN POROUS COATING Ying Zheng *,

More information

Chapter 2 Capacitive Sensing Electrodes

Chapter 2 Capacitive Sensing Electrodes Chapter 2 Capacitive Sensing Electrodes The capacitive sensing electrodes on the top of a CMOS chip serve as an interface between the microelectronic readout system and the biological/chemical analyte.

More information

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery Electronic Supplementary Material (ESI) for Lab on a Chip. This journal is The Royal Society of Chemistry 2014 Electronic Supplementary Information Wireless implantable chip with integrated Nitinol-based

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

160 MILLI-OHM ELECTRICAL RESISTANCE THRU-WAFER INTERCONNECTS WITH 10:1 ASPECT RATIO

160 MILLI-OHM ELECTRICAL RESISTANCE THRU-WAFER INTERCONNECTS WITH 10:1 ASPECT RATIO 160 MILLI-OHM ELECTRICAL RESISTANCE THRU-WAFER INTERCONNECTS WITH 10:1 ASPECT RATIO A. Efimovskaya, and A.M. Shkel MicroSystems Laboratory, University of California, Irvine 4200 Engineering Gateway, Irvine,

More information

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps Materials Transactions, Vol. 52, No. 11 (2011) pp. 2106 to 2110 #2011 The Japan Institute of Metals The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu

More information

Contacting Schemes for Liquid-Liquid Systems

Contacting Schemes for Liquid-Liquid Systems Contacting Schemes for Liquid-Liquid Systems T Contactor simple T contactor Multi-layer contactor short length scales for fast transfer more difficult to fabricate Acknowledgement Tamara Floyd, MIT multi-layer

More information

ENS 06 Paris, France, December 2006

ENS 06 Paris, France, December 2006 CARBON NANOTUBE ARRAY VIAS FOR INTERCONNECT APPLICATIONS Jyh-Hua ng 1, Ching-Chieh Chiu 2, Fuang-Yuan Huang 2 1 National Nano Device Laboratories, No.26, Prosperity Road I, Science-Based Industrial Park,

More information

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<< Method For Stripping Copper In Damascene Interconnects Damascene, or acid copper plating baths, have been in use since the mid 19th century on decorative items and machinery.1,2 The process generally uses

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

Beam Leads. Spider bonding, a precursor of TAB with all-metal tape

Beam Leads. Spider bonding, a precursor of TAB with all-metal tape Beam Leads The vast majority of chips are intended for connection with thermosonic bonds: all other methods require some modification to the wafer. As early as 1972, Jordan described three gang-bonding

More information

Bonding Parameters of Anisotropic Conductive Adhesive Film and Peeling Strength

Bonding Parameters of Anisotropic Conductive Adhesive Film and Peeling Strength Key Engineering Materials Online: 5-11-15 ISSN: 1-9795, Vols. 97-3, pp 91-9 doi:1./www.scientific.net/kem.97-3.91 5 Trans Tech Publications, Switzerland Bonding Parameters of Anisotropic Conductive Adhesive

More information

INTERCONNECTS play a critical role in virtually all

INTERCONNECTS play a critical role in virtually all IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 7, JULY 2017 1003 Design, Fabrication, and Characterization of Dense Compressible Microinterconnects Paul K. Jo, Student

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

A Numerical Study on Comparing the Active and Passive Cooling of AlGaN/GaN HEMTs Xiuping Chen, Fatma Nazli Donmezer, Satish Kumar, and Samuel Graham

A Numerical Study on Comparing the Active and Passive Cooling of AlGaN/GaN HEMTs Xiuping Chen, Fatma Nazli Donmezer, Satish Kumar, and Samuel Graham 4056 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 61, NO. 12, DECEMBER 2014 A Numerical Study on Comparing the Active and Passive Cooling of AlGaN/GaN HEMTs Xiuping Chen, Fatma Nazli Donmezer, Satish Kumar,

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Closed Loop Liquid Cooling for High Power Electronics

Closed Loop Liquid Cooling for High Power Electronics Volume 1 Issue 9 October 2007 The newsletter for the thermal management of electronics 1 In this issue: Future Cooling FUTURE COOLING Closed Loop Liquid Cooling for High Power Electronics 6 Thermal Minutes

More information

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Construction Analysis Micron Semiconductor MT4LC16M4H9 64Mbit DRAM Report Number: SCA 9705-539 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

9/4/2008 GMU, ECE 680 Physical VLSI Design

9/4/2008 GMU, ECE 680 Physical VLSI Design ECE680: Physical VLSI Design Chapter II CMOS Manufacturing Process 1 Dual-Well Trench-Isolated CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 p-well poly n-well SiO 2 n+ p-epi p+ p+ 2 Schematic Layout

More information

CX Thin Fil s. Resistors Attenuators Thin-Film Products Thin-Film Services. ISO 9001:2008 RoHS/REACH Compliant ITAR Compliant

CX Thin Fil s. Resistors Attenuators Thin-Film Products Thin-Film Services.   ISO 9001:2008 RoHS/REACH Compliant ITAR Compliant CX Thin Fil s Resistors Attenuators Thin-Film Products Thin-Film Services www.cxthinfilms.com ISO 9001:2008 RoHS/REACH Compliant ITAR Compliant www.cxthinfilms.com sales@cxthinfilms.com +1 (401) 461-5500

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION Patents» 6762464, N-P butting connections on SOI substrates, 7/13/2004.»

More information

J. Vac. Sci. Technol. B 29 2, Mar/Apr /2011/29 2 /021401/6/$ American Vacuum Society

J. Vac. Sci. Technol. B 29 2, Mar/Apr /2011/29 2 /021401/6/$ American Vacuum Society Seal and encapsulate cavities for complementary metal-oxide-semiconductor microelectromechanical system thermoelectric power generators Jin Xie a Institute of Microelectronics, Agency for Science, Technology

More information

Power Electronics Packaging Revolution Module without bond wires, solder and thermal paste

Power Electronics Packaging Revolution Module without bond wires, solder and thermal paste SEMIKRON Pty Ltd 8/8 Garden Rd Clayton Melbourne 3168 VIC Australia Power Electronics Packaging Revolution Module without bond wires, solder and thermal paste For some years now, the elimination of bond

More information

Embedded Passives..con0nued

Embedded Passives..con0nued Embedded Passives..con0nued Why Embedded Passives? Improves the packaging efficiency System-on-Package (SOP); SLIM integration Reducing size Eliminating substrate assembly Minimizing solder joint failure

More information

Preface Preface to First Edition

Preface Preface to First Edition Contents Foreword Preface Preface to First Edition xiii xv xix CHAPTER 1 MEMS: A Technology from Lilliput 1 The Promise of Technology 1 What Are MEMS or MST? 2 What Is Micromachining? 3 Applications and

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY

ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY ENHANCING MECHANICAL SHOCK PERFORMANCE USING EDGEBOND TECHNOLOGY Steven Perng, Tae-Kyu Lee, and Cherif Guirguis Cisco Systems, Inc. San Jose, CA, USA sperng@cisco.com Edward S. Ibe Zymet, Inc. East Hanover,

More information

Surface Micromachining of Uncooled Infrared Imaging Array Using Anisotropic Conductive Film

Surface Micromachining of Uncooled Infrared Imaging Array Using Anisotropic Conductive Film Surface Micromachining of Uncooled Infrared Imaging Array Using Anisotropic Conductive Film Weiguo Liu, Lingling Sun, Weiguang Zhu, Ooi Kiang Tan Microelectronics Center, School of Electrical and Electronic

More information

David J. Kukulka a, *, Rick Smith b, Wei Li c VOL. 45, Introduction

David J. Kukulka a, *, Rick Smith b, Wei Li c VOL. 45, Introduction 799 A publication of CHEMICAL ENGINEERING TRANSACTIONS VOL. 45, 2015 Guest Editors: Petar Sabev Varbanov, Jiří Jaromír Klemeš, Sharifah Rafidah Wan Alwi, Jun Yow Yong, Xia Liu Copyright 2015, AIDIC Servizi

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Gold to gold thermosonic bonding Characterization of bonding parameters

Gold to gold thermosonic bonding Characterization of bonding parameters Gold to gold thermosonic bonding Characterization of bonding parameters Thi Thuy Luu *1, Hoang-Vu Nguyen 1, Andreas Larsson 2, Nils Hoivik 1 and Knut E.Aasmundtveit 1 1: Institute of Micro and Nanosystems

More information

Closed Loop Liquid Cooling for High Power Electronics

Closed Loop Liquid Cooling for High Power Electronics VOLUME 1 ISSUE 9 OCTOBER 2007 THE NEWSLETTER FOR THE THERMAL MANAGEMENT OF ELECTRONICS 1 In this issue: Future Cooling FUTURE COOLING Closed Loop Liquid Cooling for High Power Electronics 6 Thermal Minutes

More information

Development of System in Package

Development of System in Package Development of System in Package In recent years, there has been a demand to offer increasingly enhanced performance for a SiP that implements downsized and lower-profile chips at lower cost. This article

More information

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells The MIT Faculty has made this article openly available. Please share how this access benefits

More information

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn First Demonstration of Photoresist Cleaning for Fine-Line RDL Yield Enhancement by an Innovative Ozone Treatment Process for Panel Fan-out and Interposers Atul Gupta, Eric Snyder, Christiane Gottschalk,

More information

Fraunhofer IZM Bump Bonding and Electronic Packaging

Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer Institute for Reliability and Microintegration (IZM) Gustav-Meyer-Allee 25 13355 Berlin Germany Dipl.-Ing. Thomas Fritzsch Contact: thomas.fritzsch@izm.fraunhofer.de

More information

ABSTRACT. Elnaz Kermani, Master of Science Professor Michael Ohadi, Mechanical Engineering

ABSTRACT. Elnaz Kermani, Master of Science Professor Michael Ohadi, Mechanical Engineering ABSTRACT Title of Document: MANIFOLD MICRO-CHANNEL COOLING OF PHOTOVOLTAIC CELLS FOR HIGH EFFICIENCY SOLAR ENERGY CONVERSION SYSTEMS Elnaz Kermani, Master of Science 2008 Directed By: Professor Michael

More information

Conductive Adhesive Applications to Imprint Circuitry

Conductive Adhesive Applications to Imprint Circuitry Conductive Adhesive Applications to Imprint Circuitry Liye Fang Department of Electrical Engineering, T. J. Watson School of Engineering and Applied Science, State University of New York at Binghamton,

More information

AN EXPERIMENTAL STUDY AND NUMERICAL SIMULATION OF TWO-PHASE FLOW OF CRYOGENIC FLUIDS THROUGH MICRO-CHANNEL HEAT EXCHANGER

AN EXPERIMENTAL STUDY AND NUMERICAL SIMULATION OF TWO-PHASE FLOW OF CRYOGENIC FLUIDS THROUGH MICRO-CHANNEL HEAT EXCHANGER AN EXPERIMENTAL STUDY AND NUMERICAL SIMULATION OF TWO-PHASE FLOW OF CRYOGENIC FLUIDS THROUGH MICRO-CHANNEL HEAT EXCHANGER W. W. Yuen and I. C. Hsu Department of Mechanical Engineering University of California,

More information

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process Srinivas Tadigadapa and Sonbol Massoud-Ansari Integrated Sensing Systems (ISSYS) Inc., 387 Airport Industrial Drive,

More information

Design for Plastic Ball Grid Array Solder Joint Reliability. S.-W. R. Lee, J. H. Lau*

Design for Plastic Ball Grid Array Solder Joint Reliability. S.-W. R. Lee, J. H. Lau* Page 1 of 9 Design for Plastic Ball Grid Array Solder Joint Reliability The Authors S.-W. R. Lee, J. H. Lau* S.-W. R. Lee, Department of Mechanical Engineering, The Hong Kong University of Science and

More information

SiGeC Cantilever Micro Cooler

SiGeC Cantilever Micro Cooler Mat. Res. Soc. Symp. Proc. Vol. 793 2004 Materials Research Society S11.3.1 SiGeC Cantilever Micro Cooler Gehong Zeng, Ali Shakouri 1 *, Edward Croke 2, Yan Zhang 1, James Christofferson 1 and John E.

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor

Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor Bhavani P. Dewan-Sandur, Abhijit Kaisare and Dereje Agonafer The University of Texas at Arlington, Box 19018, TX

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Wafer-to-Wafer Bonding and Packaging

Wafer-to-Wafer Bonding and Packaging Wafer-to-Wafer Bonding and Packaging Dr. Thara Srinivasan Lecture 25 Picture credit: Radant MEMS Reading Lecture Outline Senturia, S., Chapter 17, Packaging. Schmidt, M. A. Wafer-to-Wafer Bonding for Microstructure

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

CO.,LTD.,314,Maetan3-Dong,Yeongtong-Gu,Suwon,Gyunggi-Do,Korea,

CO.,LTD.,314,Maetan3-Dong,Yeongtong-Gu,Suwon,Gyunggi-Do,Korea, Key Engineering Materials Vols. 326-328 (2006) pp 309-32 Online: 2006-2-0 (2006) Trans Tech Publications, Switzerland doi:0.4028/www.scientific.net/kem.326-328.309 MEMS based metal plated silicon package

More information

ANISOTROPIC conductive film (ACF) is a film-type

ANISOTROPIC conductive film (ACF) is a film-type 1350 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 5, NO. 9, SEPTEMBER 2015 Effects of Bonding Pressures and Bonding Temperatures on Solder Joint Morphology and Reliability

More information

Flexible Substrates for Smart Sensor Applications

Flexible Substrates for Smart Sensor Applications Flexible Substrates for Smart Sensor Applications A novel approach that delivers miniaturized, hermetic, biostable and highly reliable smart sensor modules. AUTHORS Dr. Eckardt Bihler, Dr. Marc Hauer,

More information