Development of System in Package

Size: px
Start display at page:

Download "Development of System in Package"

Transcription

1 Development of System in Package In recent years, there has been a demand to offer increasingly enhanced performance for a SiP that implements downsized and lower-profile chips at lower cost. This article describes the policy shift for the development of SiP and design/simulation technologies. * SiP: System in Package Introduction The evolution of cellular phones, DSCs/DVCs, and other such digital audiovisual (AV) equipment has been fueling increased demand for higher levels of external added values such as reduced size and lower-profile design features in addition to enhanced internal performance such as multiple functions and advanced features. As a result, it has become necessary for semiconductor devices to offer enhanced performance and to have architectures that allow downsizing and lower-profile configuration. As a solution package to satisfy the demand, the SiP was developed. The SiP was initially intended to be a supplementary package utilized to help migrate the functional block that integrates several semiconductor elements to form a system on a motherboard to the SoC (System on Chip) that implements these integrations on a single semiconductor device. However, given the recent trend of shorter product life cycles, which demand reduced time to market and more cost-effective development, the products that can assimilate benefits by SoC design and the products that cannot are obviously being divided. As a result, the SiP, considered on the basis of conventional technologies, has come to be applied for specific applications in which SiP is more suitable compared to SoC because of the required characteristics, delivery date, and costs (Fig.1 *1 ). Figure 1 Evolution of SiP and SoC 2005 No.1 FIND Vol.23 3

2 Policy Shift for the Development of SiP One of the reasons why the SiP has rapidly diffused over the last few years is its high degree of flexibility in fabricating an all-in-one package at low cost with multiple existing chips for which further development has already been discontinued. This advantageous feature has been satisfying the demand for downsized and lower-profile packages and thus offers greater benefits in terms of time to market and development cost over SoC. This high degree of flexibility has been supported by the rapid progress in package assembly technologies including thin wafers and stacked MCPs (multichip packages). As of 2001, the development of basic technology for 25m thickness was completed for thin wafer technology. *2 At the product level, the routing production of packages mounted with 60m-thick chips is currently underway. In the future, continued technological improvements may be expected in order to keep up with increasing wafer diameters. In terms of stacked MCP technology, in order to provide proper wire connecting space, a technology to insert dummy chips, adhesive films, or other spacer materials between chips, as well as bumpbonding technology using various flip chips processing based on Au-Au interconnection or Au-solder interconnection is now commonly used. In addition, a technology to stack multiple packages was also recently established and is gradually being applied to actual products. In terms of components, fine-pitch technology for interposers has been improved greatly, and the added values obtained through higher-density integration in a given wiring space and thin substrates have become essential for package assembly technology. Fig.2 presents typical products based on these technologies. Despite the successfully established assembly technologies, SiP is required to provide equivalent or higher performance compared to SoC, and the demands that cannot be fulfilled solely by the assembly technologies begin to increase. In addition, since the higher degree of package assembly flexibility has made the wiring configuration of interposers more complicated, any electrical characteristic defects become more apparent and the total costs including component costs increase in some cases. In order to solve these problems, SiP development policy is on the way to shift from a conventional assembly technology base to a design technology base. Figure 2 Typical Products 4 FIND Vol.23 No

3 SiP Design Technology/Simulation Technology The characteristics of SiP depend on the configuration of incorporated chips and the design technology for the interposers incorporating the chips. Fig.3 illustrates a typical flow for the development of interposers, focusing on the design technology during the development of SiP. Based on the input information regarding the size of the chips incorporated in a package, the coordinates of the pad, the chip configuration, and other connection data, a model of the required virtual package is created. Using this virtual model, in order to determine the external configuration of the interposer, prior verification is conducted for factors that could cause problems in package fabrication; these factors include possible heat generation from chips, chip-to-chip and chip-to-interposer connections, as well as possible stresses exerted on the chips and interposers during the bonding process or the molding process. Subsequently, verification of the electrical characteristics takes place to determine the internal configuration or the internal wiring of interposers, thereby selecting the definitive interposer specifications. Implementing this flow allows prior verification of the thermal characteristics of the package, the presence of any structural problems, and the electrical characteristics. As such, it contributes to significantly reducing the development time and cost. Through the evolution of these technologies and the feedback of the verification results to the process steps, the offering of the chip devices designed with the specifically optimized pad arrangement for SiP has already started. Adopting the optimized chips helps simplify the wiring configuration in the interposers and thus minimize costs and any defects in the characteristics caused by the complicated interposers. Individual items for prior verification are detailed below. Figure 4 Typical Thermal Simulation maximum operating temperature 125) and a memory chip (allowable maximum operating temperature 100) are embedded in combination, the heat-generating temperature of the package must be limited to 100 or low. This makes it necessary to take into account the possible effects of heat Figure 3 Substrate Design Flow Thermal Simulation As thin wafer technology and stacked MCP technology evolve, the number of chips incorporated in a package increases and the thermal resistance of the entire package becomes larger as a result. For the SiP that allows the incorporation of different types of chips, they can be mounted in a combination that operate at different temperatures and in this way, the upper limit of the thermal resistance of the entire package is determined by the chip with the lowest limit temperature. For example, when a logic chip (allowable 2005 No.1 FIND Vol.23 5

4 generation from other chips when selecting the proper chip configuration, and the prior identification of the thermal resistance of a package is an important step for the development of SiPs. Fig.4 illustrates a typical thermal simulation. One popular approach to reducing the temperature of heat generated due to the thermal resistance of a package involves the addition of dummy balls known as thermal balls directly under the chip. The illustration on the left-hand side of Fig.4 shows the simulation of a normal configuration, and that on the right illustrates a simulation with added thermal balls. As is evident, the addition of dummy balls contributes to reduce the higher temperature region. In this way, through the prior verification of a package for the attainment of proper characteristics, chip configuration and ball arrangement can be possible. Three-Dimensional Wiring Simulation The incorporation of multiple chips can create a more complicated wiring configuration with a higher degree of flexibility. For devices that contain chip-to-chip interconnection and chip-to-interposer connection in combination (thus requiring a three-dimensional wiring configuration), problems involving short-circuited wires, short-circuited edges, and other defects have developed that cannot be properly verified using conventional two-dimensional wiring diagrams. In addition, the rapidly advancing thin wafer technology has resulted in smaller space in the height direction of the wire required for wire connection, leading to a higher probability of defect occurrence. This indicates the importance of prior verification that checks the geometry of wire three-dimensionally and optimizes the chip stacking position and pad arrangement. Moreover, given the current trend of the advancing fine-pitch design of both the Figure 5 Typical 3D Wiring Simulation Figure 6 Typical 3D Wiring Simulation (Capillary Behavior) chip and the interposer, consideration must be given to the actual capillary size and even its behavior. As such, it is an indispensable step in the development of SiP to verify the wire bonding process and determine the wiring configuration. Figs.5 and 6 illustrate typical 3D wiring simulations. Fig.5 illustrates the results of a 3D wiring simulation of a shortcircuited wire that actually occurred in a package. The simulation succeeded in reproducing the closest possible arrangement to the actual short-circuited wire, demonstrating that the shortcircuited wire could have been avoided if prior verification was properly conducted. Fig.6 provides the result of verification of the short-circuited wire in the actual package together with the capillary behavior. In this case, the possible causes of the shortcircuited wire could not be identified through verification of the 3D wiring, though the simulation of capillary behavior successfully reproduced the contact with the wire. This indicates that any problem involving the wiring process that is unidentifiable on the drawing can be properly verified. Stress Simulation As the number of stacks is increased through thin wafer technology, chips can be exposed to a variety of stresses. In particular, the overhang region formed by the combination of different chip sizes is considered more likely to break due to the bonding load in the wire bonding process and/or the sealing pressure in the 6 FIND Vol.23 No

5 molding process. This makes it an indispensable check item in the development of SiP in order to verify possible stresses exerted on the chips in individual assembly processes and ensure that no breakage or malfunction occurs due to chip thickness, component material, or other factor. Fig.7 presents the result of a stress simulation for possible load exerted on the chip during the wire bonding process. The symbols and on the graph indicate the actual measurements, with showing freedom from any problem and showing the occurrence of a broken chip. The straight line depicts the result of verification on the stress applied to the chip based on the parameters of chip thickness and overhang. This simulation does not take into account the deflection of the chip according to chip thickness, though the figure shows that the straight line obtained by the simulation helps properly segregate the region that is very likely to break. Utilization of stress simulation technology allows identification of the solder joint reliability after mounting onto the motherboard. As such, stress simulation technology may be widely applied in various fields, including reliability checking. Electrical Characteristics Simulation The SiP, which forms a system in a package, allows the transmission of signals among the multiple incorporated chips. This relation can hold when a digital signal sent out from a chip is correctly transmitted to another chip through several wiring routes. In actuality, however, a complicated wiring configuration or the incorporation of different types of chips can cause the digital signals to be exposed to various noises, which may lead to possible malfunctions such as inverted logic or delayed signals. In addition, given the current trend for electrical characteristics comparable to those of SoC, it is also an indispensable item utilized to identify the required electrical characteristics through prior verification. Fig.8 illustrates a simulation of the electrical characteristics. The normal wiring using existing chips involves longer wiring in the interposer, though the wiring length may be reduced by one-half to one-third by optimizing the chips. For both cases, normal and optimized, electrical simulation results are presented in the figure. As is evident, optimization contributes to reducing the distortion of waveforms, thus demonstrating the benefit of optimization. This may be explained by the fact that the factors affecting the impedance can be reduced with the shorter wiring length and also the omitted passage through the via holes of the interposer *3. Figure 7 Typical Stress Simulation Future Conventionally, the SiP has been targeted for the development of consumer products including cellular phone and digital AV equipment. The advantages demanded here were higher degree of package fabrication flexibility due to the utilization of existing chips and faster delivery and lower prices as a result of the reduced development processes. However, in order to keep up with the changing market demands, it is now demanded that the SiP be aimed to offer multiple functions and higher performance for the specific applications in which only the SiP can satisfy the demands. As a result, in addition to the assembly technologies that have been continuously improved, various simulation technologies have become important to allow identification of characteristics even in the package design phase. In the future, it will be important to incorporate passive components and/or analog parts in the SiP and integrate into packages a variety of know-how on the design that has been applied to the motherboards, thereby enhancing the stability of system quality. The development of such packages cannot be achieved only in the framework of conventional Jisso/package departments, it requires the cooperation of device design and/or wafer process departments. Strengthened connections with the set makers (our customers) should also be considered important. In addition, the development of environmentally conscious packages without the use of lead or halogen as ever and morecustomized packages are also important. It is expected that further enhancement of assembly technology will be demanded more than ever in the future No.1 FIND Vol.23 7

6 Figure 8 Typical Electrical Characteristics Simulation [Bibliography] *1: A. Takashima, et al.:packaging technology of accelerating SiP, NE/ND Hardware Conference 2002, *2: K. Teshirogi, et al.:about the work of 50um or less on the process development, SEMICON Japan 2002, Recent status of Thin Wafer Chip (die) Mounting Prospect of Less Than 50 um in Thickness, pp (2002) *3: K. Ozawa, et al.:electric characteristics of SIP (System in Package), Journal of Japan Institute of Electronics Packaging, Vol.6, No.4, pp (2003) 8 FIND Vol.23 No

New Technology for High-Density LSI Mounting in Consumer Products

New Technology for High-Density LSI Mounting in Consumer Products New Technology for High-Density Mounting in Consumer Products V Hidehiko Kira V Akira Takashima V Yukio Ozaki (Manuscript received May 29, 2006) The ongoing trend toward downsizing and the growing sophistication

More information

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

System in Package: Identified Technology Needs from the 2004 inemi Roadmap System in Package: Identified Technology Needs from the 2004 inemi Roadmap James Mark Bird Amkor Technology Inc System in package (SiP) technology has grown significantly in the past several years. It

More information

Recent Advances in Die Attach Film

Recent Advances in Die Attach Film Recent Advances in Die Attach Film Frederick Lo, Maurice Leblon, Richard Amigh, and Kevin Chung. AI Technology, Inc. 70 Washington Road, Princeton Junction, NJ 08550 www.aitechnology.com Abstract: The

More information

Alternative Approaches to 3-Dimensional Packaging and Interconnection

Alternative Approaches to 3-Dimensional Packaging and Interconnection Alternative Approaches to 3-Dimensional Packaging and Interconnection Joseph Fjelstad SiliconPipe, Inc. www.sipipe.com IC Packaging a Technology in Transition In the past, IC packaging has been considered

More information

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION Frank Grano, Felix Bruno Huntsville, AL Dana Korf, Eamon O Keeffe San Jose, CA Cheryl Kelley Salem, NH Joint Paper by Sanmina-SCI Corporation EMS, GTS

More information

Test Flow for Advanced Packages (2.5D/SLIM/3D)

Test Flow for Advanced Packages (2.5D/SLIM/3D) 1 Test Flow for Advanced Packages (2.5D/SLIM/3D) Gerard John Amkor Technology Inc. Gerard.John@amkor.com 2045 East Innovation Circle, Tempe, AZ 85284, USA Phone: (480) 821-5000 ADVANCED PACKAGE TEST FLOW

More information

RF System in Packages using Integrated Passive Devices

RF System in Packages using Integrated Passive Devices RF System in Packages using Integrated Passive Devices by Kai Liu, YongTaek Lee, HyunTai Kim, Gwang Kim, and Billy Ahn STATS ChipPAC 1711 W. Greentree Drive, Suite #117, Tempe, AZ 85284, USA Tel: 480-222-1722

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Mobile Device Passive Integration from Wafer Process

Mobile Device Passive Integration from Wafer Process Mobile Device Passive Integration from Wafer Process Kai Liu, YongTaek Lee, HyunTai Kim, and MaPhooPwint Hlaing STATS ChipPAC, Inc. 1711 West Greentree, Suite 117, Tempe, Arizona 85284, USA Tel: 48-222-17

More information

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan 3D Package Technologies Review with Gap Analysis for Mobile Application Requirements Apr 22, 2014 STATS ChipPAC Japan T.Nishio Contents Package trends and roadmap update Advanced technology update Fine

More information

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology by Meenakshi Prashant, Seung Wook Yoon, Yaojian LIN and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Vern Solberg STC-Madison Madison, Wisconsin USA Abstract The motivation for developing higher density IC packaging continues to be

More information

Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor

Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor Thermal Management of Die Stacking Architecture That Includes Memory and Logic Processor Bhavani P. Dewan-Sandur, Abhijit Kaisare and Dereje Agonafer The University of Texas at Arlington, Box 19018, TX

More information

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) Steffen Kröhnert, José Campos, Eoin O Toole NANIUM S.A., Vila do Conde, Portugal Outline Short Company Overview NANIUM Introduction

More information

Semiconductor Packaging and Assembly 2002 Review and Outlook

Semiconductor Packaging and Assembly 2002 Review and Outlook Gartner Dataquest Alert Semiconductor Packaging and Assembly 2002 Review and Outlook During 2002, the industry continued slow growth in unit volumes after bottoming out in September 2001. After a hearty

More information

Design for Flip-Chip and Chip-Size Package Technology

Design for Flip-Chip and Chip-Size Package Technology Design for Flip-Chip and Chip-Size Package Technology Vern Solberg Solberg Technology Consulting Madison, Wisconsin Abstract As new generations of electronic products emerge they often surpass the capability

More information

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability Simulation of Embedded Components in PCB Environment and Verification of Board Reliability J. Stahr, M. Morianz AT&S Leoben, Austria M. Brizoux, A. Grivon, W. Maia Thales Global Services Meudon-la-Forêt,

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

AN Handling and processing of sawn wafers on UV dicing tape. Document information. Sawn wafers, UV dicing tape, handling and processing

AN Handling and processing of sawn wafers on UV dicing tape. Document information. Sawn wafers, UV dicing tape, handling and processing Handling and processing of sawn wafers on UV dicing tape Rev. 2.0 13 January 2009 Application note Document information Info Keywords Abstract Content Sawn wafers, UV dicing tape, handling and processing

More information

Panel Discussion: Advanced Packaging

Panel Discussion: Advanced Packaging Dr. Steve Bezuk Senior Director IC Packaging Engineering Qualcomm Technologies, Inc. Panel Discussion: Advanced Packaging PAGE 1 Technical Challenges of Packaging (Mobile Focus) Materials Die materials

More information

Semiconductor IC Packaging Technology Challenges: The Next Five Years

Semiconductor IC Packaging Technology Challenges: The Next Five Years SPAY025 May 2006 White Paper Mario A. Bolanos, Director Semiconductor Group Packaging Technology Development, Texas Instruments In the era of communications and entertainment, growth of consumer electronics

More information

High Density PoP (Package-on-Package) and Package Stacking Development

High Density PoP (Package-on-Package) and Package Stacking Development High Density PoP (Package-on-Package) and Package Stacking Development Moody Dreiza, Akito Yoshida, *Kazuo Ishibashi, **Tadashi Maeda, Amkor Technology Inc. 1900 South Price Road, Chandler, AZ 85248, U.S.A.

More information

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong White Paper Quality and Reliability Challenges for Package on Package By Craig Hillman and Randy Kong Background Semiconductor technology advances have been fulfilling Moore s law for many decades. However,

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

28nm Mobile SoC Copper Pillar Probing Study. Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad)

28nm Mobile SoC Copper Pillar Probing Study. Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad) 28nm Mobile SoC Copper Pillar Probing Study Jose Horas (Intel Mobile Communications) Amy Leong (MicroProbe) Darko Hulic (Nikad) Overview Introduction to IMC Copper Pillar Implementation at IMC Low force

More information

Fairchild Semiconductor Application Note January 2001 Revised September Using BGA Packages

Fairchild Semiconductor Application Note January 2001 Revised September Using BGA Packages Introduction AN-5026 Demanding space and weight requirements of personal computing and portable electronic equipment has led to many innovations in IC packaging. Combining the right interface and logic

More information

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack 1 3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack Advantest Corporation 2 The final yield Any Multi-die Product Must Consider the Accumulated Yield Assume Test Can Provide 99% Die

More information

Failure Modes in Wire bonded and Flip Chip Packages

Failure Modes in Wire bonded and Flip Chip Packages Failure Modes in Wire bonded and Flip Chip Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract The growth of portable and wireless products is driving the miniaturization

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Enabling Technology in Thin Wafer Dicing

Enabling Technology in Thin Wafer Dicing Enabling Technology in Thin Wafer Dicing Jeroen van Borkulo, Rogier Evertsen, Rene Hendriks, ALSI, platinawerf 2G, 6641TL Beuningen Netherlands Abstract Driven by IC packaging and performance requirements,

More information

Wire Bonding Integrity Assessment for Combined Extreme Environments

Wire Bonding Integrity Assessment for Combined Extreme Environments Wire Bonding Integrity Assessment for Combined Extreme Environments Maria Mirgkizoudi¹, Changqing Liu¹, Paul Conway¹, Steve Riches² ¹Wolfson School of Mechanical and Manufacturing Engineering, Loughborough

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

Package Mounting Guide BGA

Package Mounting Guide BGA Package Mounting Guide Revision 1.0 2017-03 2017-03-17 1 / 16 Rev. 1.0 2017 Toshiba Corporation Table of Contents Package Mounting Guide Preface... 4 Purpose of this document... 4 Intended Audience...

More information

MTS Semiconductor Solution

MTS Semiconductor Solution MTS 0 unplanned down time Solution Lowest operating Cost Solution Energy saving Solution Equipment Fine Pitch and UPH Upgrade solution Quality & Yield Improvement Solution Reliability Enhancement Solution

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

ewlb Technology: Advanced Semiconductor Packaging Solutions "ewlb Technology: Advanced Semiconductor Packaging Solutions" by Sharma Gaurav@, S.W. Yoon, Yap Yok Mian, Shanmugam Karthik, Yaojian Lin, Pandi C. Marimuthu and Yeong J. Lee* STATS ChipPAC Ltd. 5 Yishun

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

PCB Production Process HOW TO PRODUCE A PRINTED CIRCUIT BOARD

PCB Production Process HOW TO PRODUCE A PRINTED CIRCUIT BOARD NCAB Group Seminars PCB Production Process HOW TO PRODUCE A PRINTED CIRCUIT BOARD NCAB GROUP PCB Production Process Introduction to Multilayer PCBs 2 Introduction to multilayer PCB s What is a multilayer

More information

Enhancing the Performance & Reliability of Your Electronics Designs. Innovative Thermally Conductive Silicone Solutions IMAGINE

Enhancing the Performance & Reliability of Your Electronics Designs. Innovative Thermally Conductive Silicone Solutions IMAGINE Enhancing the Performance & Reliability of Your Electronics Designs Innovative Thermally Conductive Silicone Solutions IMAGINE Heat Is the Enemy of Electronic Devices The reasons why may vary from application

More information

10 Manor Parkway, Suite C Salem, New Hampshire

10 Manor Parkway, Suite C Salem, New Hampshire Micro-Precision Technologies (MPT) is an independent manufacturer of hybrid integrated circuits, multichip modules, and high-precision thick film substrates for the military, medical, avionics, optoelectronics,

More information

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Close supply chain collaboration enables easy implementation of chip embedded power SiP Close supply chain collaboration enables easy implementation of chip embedded power SiP Gerald Weidinger, R&D Project Leader, AT&S AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

Design of Experiments Approach for Improving Wire Bonding Quality

Design of Experiments Approach for Improving Wire Bonding Quality Design of Experiments Approach for Improving Wire Quality Worlaluck Satianrangsarith and Mongkol Tirakanogsathit Abstract This study concerned with the use Experimental Design to analyze the quality problem

More information

Advancements In Packaging Technology Driven By Global Market Return. M. G. Todd

Advancements In Packaging Technology Driven By Global Market Return. M. G. Todd Advancements In Packaging Technology Driven By Global Market Return M. G. Todd Electronic Materials, Henkel Corporation, Irvine, California 92618, USA Recently, the focus of attention in the IC packaging

More information

2.5D and 3D Semiconductor Package Technology: Evolution and Innovation

2.5D and 3D Semiconductor Package Technology: Evolution and Innovation 2.5D and 3D Semiconductor Package Technology: Evolution and Innovation Vern Solberg Solberg Technical Consulting Saratoga, California USA Abstract The electronics industry is experiencing a renaissance

More information

14. Designing with FineLine BGA Packages

14. Designing with FineLine BGA Packages 14. Designing with FineLine BGA Packages S51014-1.0 Chapter 14, Designing with FineLine BGA Packages, replaces AN 114: Designing with FineLine BGA Packages. Introduction As programmable logic devices (PLDs)

More information

Three-Dimensional Flow Analysis of a Thermosetting. Compound during Mold Filling

Three-Dimensional Flow Analysis of a Thermosetting. Compound during Mold Filling Three-Dimensional Flow Analysis of a Thermosetting Compound during Mold Filling Junichi Saeki and Tsutomu Kono Production Engineering Research Laboratory, Hitachi Ltd. 292, Yoshida-cho, Totsuka-ku, Yokohama,

More information

AEC WORK SHOP SESSION KNOWN GOOD DIE / MULTI-CHIP MODULE. Daniel Vanderstraeten On Semiconductor

AEC WORK SHOP SESSION KNOWN GOOD DIE / MULTI-CHIP MODULE. Daniel Vanderstraeten On Semiconductor AEC WORK SHOP SESSION KNOWN GOOD DIE / MULTI-CHIP MODULE Banjie Bautista - Integrated Silicon Solution Inc. Pamela Finer Pericom Semiconductor Tim Haifley Altera Tom Lawler Lattice Semiconductor Nick Lycoudes

More information

Innovative Substrate Technologies in the Era of IoTs

Innovative Substrate Technologies in the Era of IoTs Innovative Substrate Technologies in the Era of IoTs Dyi- Chung Hu 胡迪群 September 4, 2015 Unimicron Contents Introduction Substrate Technology - Evolution Substrate Technology - Revolution Glass substrate

More information

Innovative MID Plating Solutions

Innovative MID Plating Solutions Innovative MID Plating Solutions High Reliability Wire Bond Technique for MIDs Jordan Kologe MacDermid Electronics Solutions jkologe@macdermid.com 1 MacDermid: Specialty Chemical Solutions Over 2000 Worldwide

More information

Wafer probe challenges for the automotive market Luc Van Cauwenberghe

Wafer probe challenges for the automotive market Luc Van Cauwenberghe Wafer probe challenges for the automotive market Luc Van Cauwenberghe ON Semiconductor Overview Automotive wafer probe requirements Results of experiments Summary Follow on Work Acknowledgements 2 Automotive

More information

Quantitative thickness measurement of dual layer materials using X-ray absorption-based technique

Quantitative thickness measurement of dual layer materials using X-ray absorption-based technique SIMTech technical reports Volume 8 Number 1 Jan - Mar 7 Quantitative thickness measurement of dual layer materials using X-ray absorption-based technique L. M. Sim and A. C. Spowage Abstract Gray levels

More information

LED Die Attach Selection Considerations

LED Die Attach Selection Considerations LED Die Attach Selection Considerations Gyan Dutt & Ravi Bhatkal Alpha, An Alent plc Company Abstract Die attach material plays a key role in performance and reliability of mid, high and super-high power

More information

Three-Dimensional Molded Interconnect Devices (3D-MID)

Three-Dimensional Molded Interconnect Devices (3D-MID) Jörg Frank Three-Dimensional Molded Interconnect Devices (3D-MID) Materials, Manufacturing, Assembly and Applica ons for Injec on Molded Circuit Carriers Sample Pages ISBN 978-1-56990-551-7 HANSER Hanser

More information

3D-WLCSP Package Technology: Processing and Reliability Characterization

3D-WLCSP Package Technology: Processing and Reliability Characterization 3D-WLCSP Package Technology: Processing and Reliability Characterization, Paul N. Houston, Brian Lewis, Fei Xie, Ph.D., Zhaozhi Li, Ph.D.* ENGENT Inc. * Auburn University ENGENT, Inc. 2012 1 Outline Packaging

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Embedding Passive and Active Components: PCB Design and Fabrication Process Variations

Embedding Passive and Active Components: PCB Design and Fabrication Process Variations Embedding Passive and Active Components: PCB Design and Fabrication Process Variations Vern Solberg Solberg Technical Consulting Saratoga, California USA Abstract Embedding components within the PC board

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Quality in Electronic Production has a Name: Viscom. System Overview

Quality in Electronic Production has a Name: Viscom. System Overview Quality in Electronic Production has a Name: Viscom System Overview Successful along the whole line with Viscom Circuit board manufacturing Paste print Finish S3070 AFI-Scan S3054QS Paste print Thick film

More information

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY

ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY ALTERNATIVES TO SOLDER IN INTERCONNECT, PACKAGING, AND ASSEMBLY Herbert J. Neuhaus, Ph.D., and Charles E. Bauer, Ph.D. TechLead Corporation Portland, OR, USA herb.neuhaus@techleadcorp.com ABSTRACT Solder

More information

Micro-tube insertion into aluminum pads: Simulation and experimental validations

Micro-tube insertion into aluminum pads: Simulation and experimental validations Micro-tube insertion into aluminum pads: Simulation and experimental validations A. Bedoin, B. Goubault, F. Marion, M. Volpert, F. Berger, A. Gueugnot, H. Ribot CEA, LETI, Minatec Campus 17, rue des Martyrs

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Plasma for Underfill Process in Flip Chip Packaging

Plasma for Underfill Process in Flip Chip Packaging Plasma for Underfill Process in Flip Chip Packaging Jack Zhao and James D. Getty Nordson MARCH 2470-A Bates Avenue Concord, California 94520-1294 USA Published by Nordson MARCH www.nordsonmarch.com 2015

More information

Optimizing Strain Gage Excitation Levels

Optimizing Strain Gage Excitation Levels Micro-Measurements Strain ages and Instruments Tech Note TN-502 Optimizing Strain age Excitation Levels Introduction A common request in strain gage work is to obtain the recommended value of bridge excitation

More information

Smart Integration of Thermal Management Systems for Electronics Cooling

Smart Integration of Thermal Management Systems for Electronics Cooling Smart Integration of Thermal Management Systems for Electronics Cooling Dr. Ir. Wessel W. Wits, University of Twente, Faculty of Engineering Technology, Laboratory of Design, Production and Management,

More information

Material Selection and Parameter Optimization for Reliable TMV Pop Assembly

Material Selection and Parameter Optimization for Reliable TMV Pop Assembly Selection and Parameter Optimization for Reliable TMV Pop Assembly Brian Roggeman, David Vicari Universal Instruments Corp. Binghamton, NY, USA Roggeman@uic.com Martin Anselm, Ph.D. - S09_02.doc Lee Smith,

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

CHARACTERISATION OF INTERFACIAL CRACKING IN MICROELECTRONIC PACKAGING

CHARACTERISATION OF INTERFACIAL CRACKING IN MICROELECTRONIC PACKAGING CHARACTERISATION OF INTERFACIAL CRACKING IN MICROELECTRONIC PACKAGING Ian McEnteggart Microelectronics Business Manager Instron Limited, Coronation Road, High Wycombe, Buckinghamshire HP12 3SY www.instron.com/microelectronics

More information

RF Transformer (Stabilized Matching Device) SMST18 series

RF Transformer (Stabilized Matching Device) SMST18 series 1 Features RF Transformer Stabilized Matching Device is RF impedance matching component. You can adjust impedance matching easily between antenna and feeding point, when you use RF Transformer. RF Transformer

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform

Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform Minapad 2014, May 21 22th, Grenoble; France Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform Stéphane Bellenger, Laëtitia Omnès, Jean-René

More information

EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS

EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS As originally published in the SMTA Proceedings. EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS Neil Poole, Ph.D., Elvira Vasquez, and Brian J. Toleno, Ph.D. Henkel Electronic

More information

23 rd ASEMEP National Technical Symposium

23 rd ASEMEP National Technical Symposium THE EFFECT OF GLUE BOND LINE THICKNESS (BLT) AND FILLET HEIGHT ON INTERFACE DELAMINATION Raymund Y. Agustin Janet M. Jucar Jefferson S. Talledo Corporate Packaging & Automation/ Q&R STMicroelectronics,

More information

Graser User Conference Only

Graser User Conference Only 2.5D/3D Design Solution Eric Chen & Scott Liu 31/Oct/2014 Roadmap data is provided for informational purposes only and does not represent a commitment to deliver any of the features or functionality discussed

More information

Development of Next-Generation ewlb Packaging

Development of Next-Generation ewlb Packaging Development of Next-Generation ewlb Packaging by Seung Wook Yoon, Yaojian Lin, Pandi Chelvam Marimuthu and *Rajendra Pendse STATS ChipPAC Singapore *Fremont, California USA Ganesh V. P, Andreas Bahr and

More information

Lattice isplsi1032e CPLD

Lattice isplsi1032e CPLD Construction Analysis Lattice isplsi1032e CPLD Report Number: SCA 9612-522 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Low Temperature Co-fired Ceramics (LTCC) Multi-layer Module Boards

Low Temperature Co-fired Ceramics (LTCC) Multi-layer Module Boards Low Temperature Co-fired Ceramics () Multi-layer Module Boards Example: Automotive Application Example: Communication Application Murata's Low Temperature Co-fired Ceramics offer highly integrated substrates

More information

Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems

Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems Silicon Interposer with Embedded Microfluidic Cooling for High-Performance Computing Systems Li Zheng 1, Yang Zhang, Xuchen Zhang and Muhannad S. Bakir 2 School of Electrical and Computer Engineering Georgia

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Electrical Resistance Monitoring

Electrical Resistance Monitoring Electrical Resistance Monitoring (ER) Introduction The electrical resistance (ER) technique is an on-line method of monitoring the rate of corrosion and the extent of total metal loss for any metallic

More information

Coil on Module. Packaging innovation for egov documents.

Coil on Module. Packaging innovation for egov documents. Coil on Module Packaging innovation for egov documents www.infineon.com/com Abstract Modern ID cards are required to stand up to many types of stress, ranging from attempts at physical alteration, to wear

More information

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Dr. Roland Irsigler, emens AG Corporate Technology, CT T P HTC Outline TSV SOLID µbump Stacking TSV application FEA

More information

FOR SEMICONDUCTORS 2007 EDITION

FOR SEMICONDUCTORS 2007 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2007 EDITION ASSEMBLY AND PACKAGING THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages by Seng Guan Chow, Yaojian Lin, Bernard Adams * and Seung Wook Yoon** STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

Introduction of CSC Pastes

Introduction of CSC Pastes Introduction of CSC Pastes Smart Phones & Conductive Pastes Chip Varistors Chip Inductors LC Filters Flexible Printed Circuit Boards Electronic Molding Compounds ITO Electrodes PCB Through Holes Semiconductor

More information

Modelling Embedded Die Systems

Modelling Embedded Die Systems Modelling Embedded Die Systems Stoyan Stoyanov and Chris Bailey Computational Mechanics and Reliability Group (CMRG) University of Greenwich, London, UK 22 September 2016 IMAPS/NMI Conference on EDT Content

More information

MRSI-175Ag Epoxy Dispenser

MRSI-175Ag Epoxy Dispenser MRSI-175Ag Epoxy Dispenser Applications: Microwave & RF Modules MEMS Semiconductor Packaging Multi-Chip Modules Hybrid Circuits Optical Modules Overview The MRSI-175Ag Conductive Epoxy Dispenser handles

More information

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering WF637 A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering Low viscosity and high tacking power stabilize ball holding force and ensures excellent solder wettability Easy

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

NARROW PITCH (0.4mm) CONNECTORS P4S SERIES

NARROW PITCH (0.4mm) CONNECTORS P4S SERIES FOR BOARD-TO-BOARD AND BOARD-TO-FPC CONNECTION AXT3, 4 NARROW PITCH (0.4mm) CONNECTORS P4S SERIES NEW 2. Strong resistance to adverse environments! Utilizes construction for high contact reliability. 1)

More information

Topography and Deformation Measurement and FE Modeling Applied to substrate-mounted large area wafer-level packages (including stacked dice and TSVs)

Topography and Deformation Measurement and FE Modeling Applied to substrate-mounted large area wafer-level packages (including stacked dice and TSVs) Topography and Deformation Measurement and FE Modeling Applied to substrate-mounted large area wafer-level packages (including stacked dice and TSVs) M. Hertl Insidix, 24 rue du Drac, 38180 Grenoble/Seyssins,

More information

Copper Wire Packaging Reliability for Automotive and High Voltage

Copper Wire Packaging Reliability for Automotive and High Voltage Copper Wire Packaging Reliability for Automotive and High Voltage Tu Anh Tran AMPG Package Technology Manager Aug.11.2015 TM External Use Agenda New Automotive Environments Wire Bond Interconnect Selection

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Highly Accelerated Thermal Shock Reliability Testing

Highly Accelerated Thermal Shock Reliability Testing Highly Accelerated Thermal Shock Reliability Testing by Bob E. Neves Microtek Laboratories, Inc. Anaheim CA and Rick B. Snyder Delphi Delco Electronics Systems, Inc. Kokomo IN and Timothy A. Estes Conductor

More information

Welcome to the KEMET Ceramic Capacitor Flex Crack Mitigation product training module. This module will review sources of stress in surface mount

Welcome to the KEMET Ceramic Capacitor Flex Crack Mitigation product training module. This module will review sources of stress in surface mount 1 Welcome to the KEMET Ceramic Capacitor Flex Crack Mitigation product training module. This module will review sources of stress in surface mount multilayer ceramic capacitors, provide board layout recommendations,

More information

Selection and Application of Board Level Underfill Materials

Selection and Application of Board Level Underfill Materials Selection and Application of Board Level Underfill Materials Developed by the Underfill Materials Design, Selection and Process Task Group (5-24f) of the Assembly and Joining Committee (5-20) of IPC Supersedes:

More information