Advanced Low Dielectric Constant Materials Learning and Perspectives

Size: px
Start display at page:

Download "Advanced Low Dielectric Constant Materials Learning and Perspectives"

Transcription

1 Advanced Low Dielectric onstant Materials Learning and Perspectives Geraud Dubois IBM Almaden Research enter, San Jose, A Department of Materials Science and Engineering, Stanford University, A

2 2 4/26/2018

3 utline FEL, MEL, BEL & Packaging Low-k materials: why do we need them? Ultra low-k materials (ULK) mechanical properties hip Package Interaction (PI) lessons learned Ultra low-k materials (ULK) challenging our thinking onclusion 3 4/26/2018

4 MEPTE, New Generation Flexible Hybrid Electronics, April FEL, BEL and PAKAGING T. Wiggins M4 T. Wiggins u Wires M3 BEL ILD M2 V1 u Vias M1 MEL FEL 4 Tungsten Studs FET s (gates)

5 Why did we introduce low-k materials? Rdelay Gate delay Interconnect delay, Al & 2 Sum of delays, Al & 2 Delay (ps) Al 3.0 µm-cm 2 k = 4.0 Al 0.8 µm thick Al line 43 µm long Interconnect Transistors Technology node (nm) 20% performance increase alculated gate and interconnect delay vs technology node according to the National technology roadmap for semiconductor (NTRS) in

6 MIRPRESSRS HISTRIAL EVLUTIN 250nm (6 u levels) 180nm (7 u levels) 130nm (9 u levels) 90nm (10 cu levels) 65nm (10 u levels) 45nm (10 u levels) F- 2 F- 2 H H H k= 4.3 k= 3.8 k= 3.6 k= 3.0 k= 2.7 k= 2.4 u ILD u ILD 480 nm 480 nm 80 nm 80 nm 6 W. Volksen, R. Miller, G. Dubois. hem Rev 2010, 110,

7 IBM Technology Roadmap (250 nm) DIELETRI NSTANT F- 2 (180 nm) F- 2 (130 nm) H (90 nm) H (65 nm) H (45 nm) H (32 nm) YEAR F PRDUTIN (TEHNLGY NDE) 7 4/26/2018

8 Major hallenges for the Integration of ULK (k<2.55) chanical Properties Process Damage ARBITRARY UNITS 2 F- 2 D p-h k < 2.4 PRSITY chanical properties: Young s modulus, hardness, fracture resistance, and adhesion W. Volksen and G. Dubois, Advanced Process damage: Interconnects plasma, wet for chemistries ULSI Technology, M. Baklanov, P.S. Ho, E. Zschech, Eds., Wiley, 2012, hapter 1. 8

9 PI and ULK MATERIALS BEL PAKAGING ULK Young s modulus ULK ohesive strength ULK levels 4 Stiffness Substrate Flexibility Preferred Reality P. Brofman, IEP 2009, Kyoto, Japan, April 14-16, p1-6. More thermo-mechanical stress is applied to the BEL whereas the overall fracture resistance of the BEL has been reduced Packaging Process window BEL Packaging Process window BEL Technology node n Technology node n+1 9

10 WHITE BUMP ISSUES Acoustic microscopy image of white bumps ross-section of white bump PSPI Tension due to Stretching of upper levels of BEL Films. T. Wiggins xide Low K E oxide > E PSPI > E lowk Tension due uplift of the solder bump edge Shear due to package applies moment to 4 10 R.A. Susko et al. ES Trans 16 (19) 2009,

11 MDELING F HIP-PAKAGING INTERATIN ILD E (GPa) MSQ-A 2 MSQ-B 5 ERR at crack 6 vs ILD moduli (TE~10ppm/K) MSQ- 10 MSQ-D 15 VD-SG J. Uchibori et al. AIP Proceedings, Stress-Induced Phenomena in tallization, 10 th Int Workshop, 2009, 185. G. Wang et al. Microelectronics Reliability 2005, 45,

12 MDELING F HIP-PAKAGING INTERATIN E=70 GPa E=23 GPa Influence of higher levels material E observed 12

13 MDELING F HIP-PAKAGING INTERATIN Parameters Variables Effect on ERR onclusion Solder materials - Traditional lead eutectic - Lead-free 2-3 times higher for lead free solder Lower E and smaller TE mismatch with underfill Underfill TE: 28, 34 and 41 ppm Factor of 3 increase between 28 and 41ppm Lower the underfill TE Die attach process - eramic vs organic Up to 8x eramic preferred - With or without underfill Up to 3x BAR type process Die size - 8 x 7 mm 2 15% increase for the x 13.4 mm 2 biggest one Not such a determining factor Interfaces in the interconnect structures parallel to the die surface are more prone to the packaging effect 13 G Wang et al. Microelectronics Reliability 2005, 45,

14 Ultra low-k Materials hemistry MTS 2.7 E SAWS = 7 GPa H H DEMS/BHD k = 2.2, 36SJA3 M D D H T T H Porosity (N 2 ) = 17% Q Traditional Building Blocks hemical Shift (ppm) The hybrid network connectivity dictates: A) thin-film mechanical properties B) mechanical response to the introduction of porosity 14 4/26/2018 MTS

15 Ultra low-k Materials hemistry MTS 2.7 E SAWS = 7 GPa H H DEMS/BHD k = 2.2, 36SJA3 M D D H T T H Porosity (N 2 ) = 17% Q Traditional Building Blocks hemical Shift (ppm) The hybrid network connectivity dictates: A) thin-film mechanical properties B) mechanical response to the introduction of porosity 1,2 15 4/26/2018

16 HI chanical Properties H 3 x y E SAWS (GPa) /26/2018 MSSQ- 2 Et-S -S Density (g.cm -3 ) y = 19.52ρ y = ρ y = ρ Dubois et al., US 7,229,943 (2007) Dubois et al., Adv. Mat. 2007, 19, Dubois et al., Journal of Sol-gel Science and Technology, 2008, 48, 187. Strain Energy Release Rate, G (J/m 2 ) Dielectric onstant, k Dense HI 2.0 k ~ pt. bend G c Density, ρ (g/cm 3 ) D (PEVD) MSSQ (SD) E.P. Guyer et al. J. Mater. Res. 2006, 21, 882.

17 ULK chanical Properties Landscape o New Materials have emerged PEVD (Et) 3 (Et) 3 + BHD (Et) 2 k=2.55 Higher mechanical properties E ~ 10 GPa Spin-on Parameter PS V2 PS V2 Porogen No Yes Dielectric constant ~ 2.4 ~ 2.2 E (GPa) by SAWS D. Edelstein et al. IIT 2012 o Engineering solutions have been found - UV treatment has been implemented (1.5x improvement in E) - New designs have helped with chip packaging interaction (PI) 17 4/26/2018 Lowest k integrated until now is ~ 2.4

18 ARBITRARY UNITS MEPTE, New Generation Flexible Hybrid Electronics, April Major hallenges for the Integration of ULK k < 2.55 chanical Properties Process Damage 2 F- 2 D p-h k < 2.4 W. Volksen Process and damage: G. Dubois, plasma, Advanced wet chemistries Interconnects for ULSI Technology, M. Baklanov, P.S. Ho, E. Zschech, Eds., Wiley, 2012, hapter 1. Plasma Induced Damage (PID) (Ions, Radicals, VUV ) H H H H PRSITY H H chanical properties: Young s modulus, hardness, fracture resistance, and adhesion 18 4/26/2018

19 ARBITRARY UNITS MEPTE, New Generation Flexible Hybrid Electronics, April /26/2018 Major hallenges for the Integration of ULK k < 2.4 chanical Properties 2 F- 2 D p-h k < 2.4 PRSITY Process Damage chanical properties: Young s modulus, hardness, fracture resistance, and adhesion W. Volksen Process and damage: G. Dubois, plasma, Advanced wet chemistries Interconnects for ULSI Technology, M. Baklanov, P.S. Ho, E. Zschech, Eds., Wiley, 2012, hapter 1. Plasma Induced Damage (PID) (Ions, Radicals, VUV ) H H H H H H H H H H k increases Moisture absorption Electrical properties degraded

20 ULK Dilemma 20 4/26/2018

21 1.PREFILL 2.VERBURDEN REMVAL SUBSTRATE SUBSTRATE 3. PATTERNING 4. METALLIZATIN 5. MP u u u u 6. BURN-UT SUBSTRATE SUBSTRATE 21 4/26/2018 US 8,314,005, US 8,492,239, US 8,541,301 T. Frot et al. Future Fab Int. 2011, 39, p.67 T. Frot et al. Adv. Mater. 2011, 23, p.2828 T. Frot et al. Adv. Funct. Mater. 2012, 22, 3043

22 onclusion o ulture of Roadmap o Engineering Solution vs Materials Innovation o The syndrome of 1 Material, many properties 22 4/26/2018

23 Acknowledgements Willi Volksen Teddie Magbitang Reinhold Dauskardt Theo Frot Krystelle Lionti 23 4/26/2018

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2,

More information

Recent Advances in Die Attach Film

Recent Advances in Die Attach Film Recent Advances in Die Attach Film Frederick Lo, Maurice Leblon, Richard Amigh, and Kevin Chung. AI Technology, Inc. 70 Washington Road, Princeton Junction, NJ 08550 www.aitechnology.com Abstract: The

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

Materials Characterization for Stress Management

Materials Characterization for Stress Management Materials Characterization for Stress Management Ehrenfried Zschech, Fraunhofer IZFP Dresden, Germany Workshop on Stress Management for 3D ICs using TSVs San Francisco/CA, July 13, 2010 Outline Stress

More information

Plasma for Underfill Process in Flip Chip Packaging

Plasma for Underfill Process in Flip Chip Packaging Plasma for Underfill Process in Flip Chip Packaging Jack Zhao and James D. Getty Nordson MARCH 2470-A Bates Avenue Concord, California 94520-1294 USA Published by Nordson MARCH www.nordsonmarch.com 2015

More information

CHARACTERISATION OF INTERFACIAL CRACKING IN MICROELECTRONIC PACKAGING

CHARACTERISATION OF INTERFACIAL CRACKING IN MICROELECTRONIC PACKAGING CHARACTERISATION OF INTERFACIAL CRACKING IN MICROELECTRONIC PACKAGING Ian McEnteggart Microelectronics Business Manager Instron Limited, Coronation Road, High Wycombe, Buckinghamshire HP12 3SY www.instron.com/microelectronics

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip SzePei Lim (Presenter), Jason Chou, Maria Durham, and Dr. Andy Mackie Indium Corporation 1 Outline of Presentation Roadmaps and challenges

More information

3D FRACTURE MECHANICS ANALYSIS OF UNDERFILL DELAMINATION FOR FLIP CHIP PACKAGES

3D FRACTURE MECHANICS ANALYSIS OF UNDERFILL DELAMINATION FOR FLIP CHIP PACKAGES 3D FRACTURE MECHANICS ANALYSIS OF UNDERFILL DELAMINATION FOR FLIP CHIP PACKAGES Zhen Zhang, Charlie J Zhai, and Raj N Master Advanced Micro Devices, Inc. 1050 E. Arques Ave., Sunnyvale, CA 94085, USA Phone:

More information

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Dr. Roland Irsigler, emens AG Corporate Technology, CT T P HTC Outline TSV SOLID µbump Stacking TSV application FEA

More information

1 Thin-film applications to microelectronic technology

1 Thin-film applications to microelectronic technology 1 Thin-film applications to microelectronic technology 1.1 Introduction Layered thin-film structures are used in microelectronic, opto-electronic, flat panel display, and electronic packaging technologies.

More information

Evaluation of a New Advanced Low-k Material

Evaluation of a New Advanced Low-k Material Evaluation of a New Advanced Low-k Material E. A. Smirnov 1, Kris Vanstreels, Patrick Verdonck, Ivan Ciofi, Denis Shamiryan, and Mikhail R. Baklanov, IMEC vzw, Kapeldreef 75, B-3001 Leuven, Belgium, E-mail:

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan 3D Package Technologies Review with Gap Analysis for Mobile Application Requirements Apr 22, 2014 STATS ChipPAC Japan T.Nishio Contents Package trends and roadmap update Advanced technology update Fine

More information

Reliability Challenges for 3D Interconnects:

Reliability Challenges for 3D Interconnects: Reliability Challenges for 3D Interconnects: A material and design perspective Paul S. Ho Suk-Kyu Ryu, Kuan H. (Gary) Lu, Qiu Zhao, Jay Im and Rui Huang The University of Texas at Austin 3D Sematech Workshop,

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C EPRC 12 Project Proposal Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C 15 th August 2012 Page 1 Motivation Increased requirements of high power semiconductor device

More information

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

ewlb Technology: Advanced Semiconductor Packaging Solutions "ewlb Technology: Advanced Semiconductor Packaging Solutions" by Sharma Gaurav@, S.W. Yoon, Yap Yok Mian, Shanmugam Karthik, Yaojian Lin, Pandi C. Marimuthu and Yeong J. Lee* STATS ChipPAC Ltd. 5 Yishun

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

Panel Discussion: Advanced Packaging

Panel Discussion: Advanced Packaging Dr. Steve Bezuk Senior Director IC Packaging Engineering Qualcomm Technologies, Inc. Panel Discussion: Advanced Packaging PAGE 1 Technical Challenges of Packaging (Mobile Focus) Materials Die materials

More information

Selection and Application of Board Level Underfill Materials

Selection and Application of Board Level Underfill Materials Selection and Application of Board Level Underfill Materials Developed by the Underfill Materials Design, Selection and Process Task Group (5-24f) of the Assembly and Joining Committee (5-20) of IPC Supersedes:

More information

ENGINEERING MATERIAL 100

ENGINEERING MATERIAL 100 Department of Applied Chemistry Division of Science and Engineering SCHOOL OF ENGINEERING ENGINEERING MATERIAL 100 Experiments 4 and 6 Mechanical Testing and Applications of Non-Metals Name: Yasmin Ousam

More information

New Developments in Moisture Barrier Materials and Conductive Adhesives for Flexible Display Applications

New Developments in Moisture Barrier Materials and Conductive Adhesives for Flexible Display Applications T H E C U S T O M E R F O C U S E D E L E C T R O N I C S O L U T I O N P R O V I D E R New Developments in Moisture Barrier Materials and Conductive Adhesives for Flexible Display Applications Dr. Stijn

More information

Free standing Multilayer Thin Film of Cellulose Nanocrystals

Free standing Multilayer Thin Film of Cellulose Nanocrystals Free standing Multilayer Thin Film of Cellulose Nanocrystals Chaoyang Jiang Department of Chemistry The University of South Dakota Edmonton, June 25, 2009 Cellulose Nanocrystals Nanotechnology R&D Priority

More information

Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7)

Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7) Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7) Effect of the Formation of the Intermetallic Compounds between a Tin Bump and an Electroplated Copper Thin Film on both the Mechanical

More information

World Academy of Science, Engineering and Technology International Journal of Electronics and Communication Engineering Vol:3, No:11, 2009

World Academy of Science, Engineering and Technology International Journal of Electronics and Communication Engineering Vol:3, No:11, 2009 International Science Index, Electronics and Communication Engineering waset.org/publication/5181 Effect of Curing Profile to Eliminate the Voids / Black Dots Formation in Underfill Epoxy for Hi-CTE Flip

More information

Die Attach Materials. Die Attach G, TECH. 2U. TECHNICAL R&D DIV.

Die Attach Materials. Die Attach G, TECH. 2U. TECHNICAL R&D DIV. Die Attach Materials Die Attach G, TECH. 2U. TECHNICAL R&D DIV. 2 Topics 3 What it is X 5,000 X 10,000 X 50,000 Si Chip Au Plating Substrate Ag Resin 4 Current Products Characteristics H9890-6A H9890-6S

More information

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1%

We are IntechOpen, the first native scientific publisher of Open Access books. International authors and editors. Our authors are among the TOP 1% We are IntechOpen, the first native scientific publisher of Open Access books 3,350 108,000 1.7 M Open access books available International authors and editors Downloads Our authors are among the 151 Countries

More information

Key words: microprocessor integrated heat sink Electronic Packaging Material, Thermal Management, Thermal Conductivity, CTE, Lightweight

Key words: microprocessor integrated heat sink Electronic Packaging Material, Thermal Management, Thermal Conductivity, CTE, Lightweight Aluminum Silicon Carbide (AlSiC) Microprocessor Lids and Heat Sinks for Integrated Thermal Management Solutions Mark A. Occhionero, Robert A. Hay, Richard W. Adams, Kevin P. Fennessy, and Glenn Sundberg

More information

Interface Degradation of Al Heavy Wire Bonds on Power Semiconductors during Active Power Cycling measured by the Shear Test

Interface Degradation of Al Heavy Wire Bonds on Power Semiconductors during Active Power Cycling measured by the Shear Test Interface Degradation of Heavy Wire Bonds on Power Semiconductors during Active Power Cycling measured by the Shear Test Jens Goehre, Fraunhofer IZM, Berlin, Germany Martin Schneider-Ramelow, Fraunhofer

More information

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications M. Gonzalez 1, B. Vandevelde 1, Jan Vanfleteren 2 and D. Manessis 3 1 IMEC, Kapeldreef 75, 3001, Leuven,

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015)

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) Content 1. Geometric properties 1.01. Available ceramic types / thicknesses... 03 1.02. thicknesses (standard)... 03 3. Quality

More information

IN ELECTRONIC packaging, materials with different coefficients

IN ELECTRONIC packaging, materials with different coefficients 850 IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 29, NO. 4, DECEMBER 2006 Analysis of Multilayered Microelectronic Packaging Under Thermal Gradient Loading Cemal Basaran, Member, IEEE,

More information

Embedded Cooling Solutions for 3D Packaging

Embedded Cooling Solutions for 3D Packaging IME roprietary ERC 12 roject roposal Embedded Cooling Solutions for 3D ackaging 15 th August 2012 age 1 Technology & ower Dissipation Trends IME roprietary Cannot continue based on Moore s law scaling

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong

White Paper Quality and Reliability Challenges for Package on Package. By Craig Hillman and Randy Kong White Paper Quality and Reliability Challenges for Package on Package By Craig Hillman and Randy Kong Background Semiconductor technology advances have been fulfilling Moore s law for many decades. However,

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Copper Wire Bonding: the Last Frontier of Cost Savings. Bernd K Appelt Business Development ASE (U.S.) Inc. April 11, 2012

Copper Wire Bonding: the Last Frontier of Cost Savings. Bernd K Appelt Business Development ASE (U.S.) Inc. April 11, 2012 Copper Wire Bonding: the Last Frontier of Cost Savings Bernd K Appelt Business Development ASE (U.S.) Inc. April 11, 2012 Outline Introduction Fundamental Study Reliability Study Monitoring Data High Volume

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures

Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures E.G. Liniger, T.M. Shaw, S.A. Cohen, P.K. Leung*, S.M. Gates, G. Bonilla, D.Canaperi*, S. Papa Rao IBM T.J. Watson Research Center, 1101

More information

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages Jae-Won Jang* a, Kyoung-Lim Suk b, Kyung-Wook Paik b, and Soon-Bok Lee a a Dept. of Mechanical Engineering, KAIST, 335 Gwahangno

More information

Axially Graded Index Lens (AGILE) as a non-tracking solar concentrator

Axially Graded Index Lens (AGILE) as a non-tracking solar concentrator Axially Graded Index Lens (AGILE) as a non-tracking solar concentrator Investigators Olav Solgaard, Associate Professor, Electrical Engineering; Reinhold Dauskardt, Professor, Material Sciences and Engineering;

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Ag Plating and Its Impact on Void-Free Ag/Sn Bumping

Ag Plating and Its Impact on Void-Free Ag/Sn Bumping Ag Plating and Its Impact on Void-Free Ag/Sn Bumping Hirokazu Ezawa, Kazuhito Higuchi, Msaharu Seto, Takashi Togasaki, Sachiko Takeda* and Rei Kiumi* Toshiba Corporation Semiconductor Company Advanced

More information

EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS

EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS As originally published in the SMTA Proceedings. EPOXY FLUX MATERIAL AND PROCESS FOR ENHANCING ELECTRICAL INTERCONNECTIONS Neil Poole, Ph.D., Elvira Vasquez, and Brian J. Toleno, Ph.D. Henkel Electronic

More information

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Bhavesh Varia 1, Xuejun Fan 1, 2, Qiang Han 2 1 Department of Mechanical Engineering Lamar

More information

From microelectronics down to nanotechnology.

From microelectronics down to nanotechnology. From microelectronics down to nanotechnology sami.franssila@tkk.fi Contents Lithography: scaling x- and y-dimensions MOS transistor physics Scaling oxide thickness (z-dimension) CNT transistors Conducting

More information

Visit

Visit Practical Applications for Nano- Electronics by Vimal Gopee E-mail: Vimal.gopee@npl.co.uk 10/10/12 Your Delegate Webinar Control Panel Open and close your panel Full screen view Raise hand for Q&A at the

More information

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper High Efficiency UV LEDs Enabled by Next Generation Substrates Whitepaper Introduction A primary industrial market for high power ultra-violet (UV) LED modules is curing equipment used for drying paints,

More information

EV Group 300mm Wafer Bonding Technology July 16, 2008

EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group 300mm Wafer Bonding Technology July 16, 2008 EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment supplier for the

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Effect of Die Bonding Condition for Die Attach Film Performance in 3D QFN Stacked Die.

Effect of Die Bonding Condition for Die Attach Film Performance in 3D QFN Stacked Die. Effect of Die Bonding Condition for Die Attach Film Performance in 3D QFN Stacked Die. A. JALAR, M. F. ROSLE, M. A. A. HAMID. School of Applied Physics, Faculty of Science and Technology Universiti Kebangsaan

More information

Thermal Evaporation. Theory

Thermal Evaporation. Theory Thermal Evaporation Theory 1. Introduction Procedures for depositing films are a very important set of processes since all of the layers above the surface of the wafer must be deposited. We can classify

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society. Constraint Effects on Cohesive Failures in Low-k Dielectric Thin Films

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society. Constraint Effects on Cohesive Failures in Low-k Dielectric Thin Films Mater. Res. Soc. Symp. Proc. Vol. 863 2005 Materials Research Society B4.. Constraint Effects on Cohesive Failures in Low-k Dielectric Thin Films Ting Y. Tsui, Andrew J. McKerrow, and Joost J. Vlassak

More information

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs Joohan Lee, Joseph J. Griffiths, and James Cordingley GSI Group Inc. 60 Fordham Rd. Wilmington, MA 01887 jlee@gsig.com

More information

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Challenges and Solutions for Cost Effective Next Generation Advanced Packaging H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Outline Next Generation Package Requirements ewlb (Fan-Out Wafer

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability Simulation of Embedded Components in PCB Environment and Verification of Board Reliability J. Stahr, M. Morianz AT&S Leoben, Austria M. Brizoux, A. Grivon, W. Maia Thales Global Services Meudon-la-Forêt,

More information

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation

Portland Technology Development, * CR, # QRE, % PTM Intel Corporation A 45nm Logic Technology with High-k + Metal Gate Transistors, Strained Silicon, 9 Cu Interconnect Layers, 193nm Dry Patterning, and 100% Pb-free Packaging K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom,

More information

SINCE the Pb-based solders have many advantages in cost,

SINCE the Pb-based solders have many advantages in cost, IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 31, NO. 3, SEPTEMBER 2008 661 Numerical Investigation of Underfill Failure Due to Phase Change of Pb-Free Flip Chip Solders During Board-Level

More information

Supplementary information. Guided fracture of films on soft substrates to create micro/nano-feature. arrays with controlled periodicity

Supplementary information. Guided fracture of films on soft substrates to create micro/nano-feature. arrays with controlled periodicity Supplementary information Guided fracture of films on soft substrates to create micro/nano-feature arrays with controlled periodicity Byoung Choul Kim 1,2, Toshiki Matsuoka 1, Christopher Moraes 1, Jiexi

More information

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance Scott D. Szymanski March Plasma Systems Concord, California, U.S.A. sszymanski@marchplasma.com

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Experience in Applying Finite Element Analysis for Advanced Probe Card Design and Study. Krzysztof Dabrowiecki Jörg Behr

Experience in Applying Finite Element Analysis for Advanced Probe Card Design and Study. Krzysztof Dabrowiecki Jörg Behr Experience in Applying Finite Element Analysis for Advanced Probe Card Design and Study Krzysztof Dabrowiecki Jörg Behr Overview A little bit of history in applying finite element analysis for probe card

More information

Package Design Optimization and Materials Selection for Stack Die BGA Package

Package Design Optimization and Materials Selection for Stack Die BGA Package Package Design Optimization and Materials Selection for Stack Die BGA Package Rahul Kapoor, Lim Beng Kuan, Liu Hao United Test & Assembly Center Ltd (UTAC) 5 Serangoon North Ave 5, Singapore 554916 Email:

More information

Lattice isplsi1032e CPLD

Lattice isplsi1032e CPLD Construction Analysis Lattice isplsi1032e CPLD Report Number: SCA 9612-522 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax: 602-948-1925

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

EFFECTS OF STRETCHING ON FLEXIBLE ORGANIC ELECTRONIC STRUCTURES

EFFECTS OF STRETCHING ON FLEXIBLE ORGANIC ELECTRONIC STRUCTURES EFFECTS OF STRETCHING ON FLEXIBLE ORGANIC ELECTRONIC STRUCTURES O. K. Oyewole 1, J. Asare 1, M.G. Zebaze Kana 1, 2, A. A. Oberafo 2, W.O. Soboyejo 1, 3 1 Department of Physics, African University of Science

More information

Copper Wire Packaging Reliability for Automotive and High Voltage

Copper Wire Packaging Reliability for Automotive and High Voltage Copper Wire Packaging Reliability for Automotive and High Voltage Tu Anh Tran AMPG Package Technology Manager Aug.11.2015 TM External Use Agenda New Automotive Environments Wire Bond Interconnect Selection

More information

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology

Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology Cost effective 300mm Large Scale ewlb (embedded Wafer Level BGA) Technology by Meenakshi Prashant, Seung Wook Yoon, Yaojian LIN and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

Mixed Attachment Technology Studies in RF & Optoelectronic Packages Requiring High Accuracy Placement

Mixed Attachment Technology Studies in RF & Optoelectronic Packages Requiring High Accuracy Placement Mixed Attachment Technology Studies in RF & Optoelectronic Packages Requiring High Accuracy Placement Daniel D. Evans and Zeger Bok Palomar Technologies, Inc. 2728 Loker Avenue West Carlsbad, CA 92010

More information

Reliability of Interconnects in LED Lighting Assemblies Utilizing Metal Clad Printed Circuit Boards Stefano Sciolè BDM I.M.S.

Reliability of Interconnects in LED Lighting Assemblies Utilizing Metal Clad Printed Circuit Boards Stefano Sciolè BDM I.M.S. Reliability of Interconnects in LED Lighting Assemblies Utilizing Metal Clad Printed Circuit Boards Stefano Sciolè BDM I.M.S. Henkel Electronic Materials Agenda 1. Introduction 2. Motivation 3. Interconnect

More information

Fast Films. New insulators will save us from the dirty little secret that threatens Moore s Law By Alan S. Brown SEMICONDUCTORS

Fast Films. New insulators will save us from the dirty little secret that threatens Moore s Law By Alan S. Brown SEMICONDUCTORS Fast Films New insulators will save us from the dirty little secret that threatens Moore s Law By Alan S. Brown A scanning electron microscope gives a cross-sectional glimpse of an IBM chip s eight levels

More information

TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies

TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies Jian-Yu Shih 1,Yen-Chi Chen 2, Cheng-Hao Chiang 1, Chih-Hung Chiu 2, Yu- Chen Hu 1, Chung-Lun Lo 2, Chi-Chung Chang

More information

NOVEL MATERIALS FOR IMPROVED QUALITY OF RF-PA IN BASE-STATION APPLICATIONS

NOVEL MATERIALS FOR IMPROVED QUALITY OF RF-PA IN BASE-STATION APPLICATIONS Novel Material for Improved Quality of RF-PA in Base-Station Applications Co-Authored by Nokia Research Center and Freescale Semiconductor Presented at 10 th International Workshop on THERMal INvestigations

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Micro-tube insertion into aluminum pads: Simulation and experimental validations

Micro-tube insertion into aluminum pads: Simulation and experimental validations Micro-tube insertion into aluminum pads: Simulation and experimental validations A. Bedoin, B. Goubault, F. Marion, M. Volpert, F. Berger, A. Gueugnot, H. Ribot CEA, LETI, Minatec Campus 17, rue des Martyrs

More information

Carbon Nanotube Solutions for Packaging and Wireless Sensors

Carbon Nanotube Solutions for Packaging and Wireless Sensors Carbon Nanotube Solutions for Packaging and Wireless Sensors Jim Lamb*, Liyong Diao, Christopher Landorf Jordan Valley Innovation Center (JVIC) Springfield, Missouri, USA *jlamb@brewerscience.com APEC

More information

Effects of fibre content on mechanical properties and fracture behaviour of short carbon fibre reinforced geopolymer matrix composites

Effects of fibre content on mechanical properties and fracture behaviour of short carbon fibre reinforced geopolymer matrix composites Bull. Mater. Sci., Vol. 32, No. 1, February 2009, pp. 77 81. Indian Academy of Sciences. Effects of fibre content on mechanical properties and fracture behaviour of short carbon fibre reinforced geopolymer

More information

EDGE CHIPPING RESISTANCE USING MACROINDENTATION TESTING

EDGE CHIPPING RESISTANCE USING MACROINDENTATION TESTING EDGE CHIPPING RESISTANCE USING MACROINDENTATION TESTING Prepared by Ali Mansouri 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials.

More information

Exclusive Technology Feature. Failure Analysis On Power MOSFETs With Copper Wire Bonds. Problems Of Decapsulation.

Exclusive Technology Feature. Failure Analysis On Power MOSFETs With Copper Wire Bonds. Problems Of Decapsulation. Failure Analysis On Power MOSFETs With Copper Wire Bonds by Huixian Wu, Arthur Chiang, and David Le, Vishay Siliconix, Santa Clara, Calif. ISSUE: March 2012 Copper wire bonds are being used increasingly

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Development of Multi Chip Modules for Extreme Environments. Hyun Joong Lee

Development of Multi Chip Modules for Extreme Environments. Hyun Joong Lee Development of Multi Chip Modules for Extreme Environments by Hyun Joong Lee A thesis submitted to the Graduate Faculty of Auburn University in partial fulfillment of the requirements for the Degree of

More information

Manufacturing and Reliability Modelling

Manufacturing and Reliability Modelling Manufacturing and Reliability Modelling Silicon Chip C Bailey University of Greenwich London, England Printed Circuit Board Airflow Temperature Stress at end of Reflow Stress Product Performance in-service

More information

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017

178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017 178 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 7, NO. 2, FEBRUARY 2017 Experimental and Theoretical Assessment of Thin Glass Substrate for Low Warpage Scott McCann, Vanessa

More information

Experimental investigation of the use of CFRP grid for shear strengthening of RC beams

Experimental investigation of the use of CFRP grid for shear strengthening of RC beams Journal of Asian Concrete Federation Vol. 2, No. 2, Dec. 2016, pp. 117-127 ISSN 2465-7964 / eissn 2465-7972 http://dx.doi.org/10.18702/acf.2016.12.2.2.117 Experimental investigation of the use of CFRP

More information

Innovative Substrate Technologies in the Era of IoTs

Innovative Substrate Technologies in the Era of IoTs Innovative Substrate Technologies in the Era of IoTs Dyi- Chung Hu 胡迪群 September 4, 2015 Unimicron Contents Introduction Substrate Technology - Evolution Substrate Technology - Revolution Glass substrate

More information

The International Technology Roadmap for Semiconductors (ESH THRUST)

The International Technology Roadmap for Semiconductors (ESH THRUST) The International Technology Roadmap for Semiconductors (ESH THRUST) 2000 Jim Jewett Intel Corporation The ITRS is a document which identifies technology needs and possible solutions From NTRS to ITRS

More information

Flip-Chip Process Improvements for Low Warpage

Flip-Chip Process Improvements for Low Warpage Flip-Chip Process Improvements for Low Warpage Robert L. Hubbard Lambda Technologies, Inc. Morrisville, NC, USA bhubbard@microcure.com Pierino Zappella*, Pukun Zhu Henkel Corporation Irvine, CA, USA Abstract

More information

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE Prepared by Jesse Angle 6 Morgan, Ste156, Irvine CA 92618 P: 949.461.9292 F: 949.461.9232 nanovea.com Today's standard for tomorrow's materials. 2010

More information

Integration/Reliability Issues for Cu/low-k BEOL Interconnects

Integration/Reliability Issues for Cu/low-k BEOL Interconnects IEEE Workshop on Microelectronics and Electron Devices, April 3, 2009, Boise Integration/Reliability Issues for Cu/low-k BEOL Interconnects Daniel C. Edelstein IBM Fellow and Manager, BEOL Technology Strategy

More information

SMU 2113 ENGINEERING SCIENCE. PART 1 Introduction to Mechanics of Materials and Structures

SMU 2113 ENGINEERING SCIENCE. PART 1 Introduction to Mechanics of Materials and Structures SMU 2113 ENGINEERING SCIENCE PART 1 Introduction to Mechanics of Materials and Structures These slides are designed based on the content of these reference textbooks. OBJECTIVES To introduce basic principles

More information