THIN METALLIC LAYERS STRUCTURED BY E-BEAM LITHOGRAPHY. Miroslav HORÁČEK, Vladimír KOLAŘÍK, Michal URBÁNEK, František MATĚJKA, Milan MATĚJKA

Size: px
Start display at page:

Download "THIN METALLIC LAYERS STRUCTURED BY E-BEAM LITHOGRAPHY. Miroslav HORÁČEK, Vladimír KOLAŘÍK, Michal URBÁNEK, František MATĚJKA, Milan MATĚJKA"

Transcription

1 THIN METALLIC LAYERS STRUCTURED BY E-BEAM LITHOGRAPHY Miroslav HORÁČEK, Vladimír KOLAŘÍK, Michal URBÁNEK, František MATĚJKA, Milan MATĚJKA Ústav přístrojové techniky AV ČR, v. v. i., Královopolská 147, CZ Brno, Abstract The group of electron beam lithography runs the laboratory equipped with a shaped beam electron writer (BS600) and the basic technology for the lithographic process. The group is able to prepare micro and nano structures in thin layers of metals and other materials; including the characterization of the realized structures (using AFM, SEM, and CLSM). Within a few months (in the frame of the 'ALISI' project) a new e-beam writer with a better resolution will be installed; it will enable the realization of the actual structures in a better quality and the development of new structures with a very high innovation potential. Key words: E-beam lithography, shaped electron beam, thin metallic layers, micro structures. 1. INTRODUCTION This contribution is aimed at the preparation of microstructures in thin metallic layers. Data preparation for microstructures, e-beam exposure, resist development, metal etching and sputtering issues are discussed as well as characterization and evaluation methods. Next, an overview of practical applications is presented e. g. special photo masks for optical lithography, direct written structures, calibration specimens for microscopic distance and orthogonality check, and metallic grating for optical applications. Finally, the potential using the new high-resolution equipment is summarized. 2. E BEAM TECHNOLOGY 2.1 E Beam Pattern Generator E beam writer (pattern generator) with a rectangular-shaped electron beam (Tesla BS 600, see Fig. 1) allows for a fast exposure of high resolution image information into a thin layer of electron resist spun on Silicon (or glass) substrates. The basic step of the writing system is 50 nm; the maximum grating density is about lines per mm. The permanent improvement of the pattern generator technical parameters allows for the increase of the writing speed. Besides that, the recent installation of the magnetic field cancellation system improved also its precision noticeably [1]. 2.2 Data preparation The first technological step is similar for all processes. Required patterns are prepared in the machine readable format and the data are checked for the consistency. Thin metallic working layers however imply a necessity to provide a special care and handling of electron scattering during exposures. Also, the isotropic etching process parameters (widening of delineated patterns) is to be considered. 2.3 E Beam Exposure and Resist Development The required pattern is transferred into the resist layer during the exposure. Generally, the shaped beam system has a higher writing speed then the Gaussian one; larger area could be patterned. Both positive and

2 Fig. 1 E beam pattern generator BS600. negative tone resists are available. The resist layer mask is created by the development of the exposed patterns. The areas exposed into the positive resist (PMMA is a commonly used) are dissolved in an appropriate developer. 2.4 Metal Etching and Metal Sputtering The substrate surface (or the working layer previously deposited on the substrate either a metallic or a dielectric one) is modified through the resist openings. Alternatively a thin metallic layer can be sputtered on the relief created in the resist. Final structures are checked using different microscopic techniques (profile meter, optical microscope, atomic force microscope AFM, confocal laser scanning microscope CLSM, scanning electron microscope). 3. PRACTICAL APPLICATIONS 3.1 Photo Masks for Optical Lithography The main industrial application of the e-beam lithography is the preparation of the masks for other (mainly optical) lithographic processes. An example of the 4 by 4 inches photo mask is depicted in Fig. 2. This mask includes a matrix of testing structure chips used in imaging analysis of implanted regions (Boron, Phosphorus) with different concentration for scanning electron microscopy. The photo masks are sometimes used directly as a standalone product for special purposes. We can mention the application for Earth movement monitoring (results of the monitoring provided by P. Kalenda are accessible on-line, cf detail of the mask is shown in Fig. 3). A photo mask used for the calibration of measurements apparatus for stone assortment mesh screen could be another example.

3 Fig. 2 Lithography mask, Chromium on 4 glass substrate. Fig. 3 Detail of a mask used for Earth movement monitoring, lettering 50 microns.

4 Fig. 4 Comb structure made in the Aluminum layer on a glass substrate. 3.2 Direct Written Structures Thin layer structures are usually prepared by the etching of working (metallic) layer or by a lift-off technique. The etching process (both isotropic and anisotropic) is performed through the resist mask openings. Silicon, glass or ceramic substrates can be used see Fig. 4. A large variety of sizes and patterns were alienated with the resolution down to sub submicron resolution. Application field covers micro sensors applications (temperature sensors, pressure sensors, illumination sensors, surface acoustic wave devices). Structures were also used as masters for nano imprint lithography process [2]. Special cases calibration specimens and various types of diffractive gratings are discussed in the following sections. 3.3 Calibration Specimens The metrics of the pattern generator is derived from the laser interferometer module and the prepared structures can be delineated with a remarkable precision. A natural application is then calibration specimens used for metrology check of various types of microscopes. Over a hundred samples were prepared during last few years for optical and scanning electron microscopy that are used for dimensional and orthogonality check. The precision of the specimens is guaranteed with the certificate of calibration issued by the Czech Metrology Institute. The specimens are basically composed of various patterns as linear and cross gratings, scales, geometric shapes and description. They can be easily customized. A cross grating with the period of 462 nm prepared by an anisotropically etch of the Silicon (100) covered by a thin metallic layer (Platinum) is shown in the Fig. 5.

5 Fig. 5 Detail of a calibration specimen: cross grating with a period of 463 nm. 3.4 Metallic Gratings Metallic gratings in the micron and submicron resolution can be prepared either in the resist layer and consequently covered by a selected metal layer (Silver, Gold, and Platinum) either by etching the metallic layer through the resist mask openings. Regular diffraction grating as well as irregular structures (Fresnel lenses, computer generated holograms) can be prepared in a very flexible way examples shown in Fig. 6 and Fig TUNGSTEN TIP FORMING A little bit apart from the thin film technology there is a metallic tip forming technology. Using a precisely controlled anodic etching process (bath temperature, hydroxide concentration, etching current, process Fig. 6 Part of a Fresnel lens, resist layer covered by Silver, optical microscope. Fig. 7 Multilevel computer generated hologram, basic pixel is 2.5 microns, AFM.

6 Fig. 8 Tungsten tip, diameter 800 nm. timing) we can achieve the predefined shaping of metallic wires. A Tungsten wire of 100 microns in diameter can be shaped in the sub micron region in a way that is required for electron beam emitters [3] see Fig CONCLUSIONS A potential of the high resolution equipment. So far we have presented the results that were achieved with the actual pattern generator (resolution of several hundred nanometers). Soon, a new pattern generator with a considerably better resolution will be installed. This system, in combination with the reactive ion etching equipment, will push the capacities as the resolution is concerned but also a much higher aspect ratio (ratio of the deepness and the width of the structures) will be achievable. Such structures, with a substantially larger surface area given the volume of the metallic material remaining constant, can change optical, mechanical, frictional, electrical or thermal properties of the metal surfaces in a very interesting way. ACKNOWLEDGEMENT This work was partially supported by European Commission and Ministry of Education, Youth and Sports of the Czech Republic (project No. CZ.1.05/2.1.00/ ALISI). REFERENCES [1] Kolařík, V. et al.: Nanolithography and Magnetic Field Cancellation in the Industrial Area. Jemná mechanika a optika. Vol. 56, (2011), pp ISSN [2] Kettle, J. et al.: Fabrication of poly(3-hexylthiophene) self-switching diodes using thermal nanoimprint lithography and argon milling. Journal of Vacuum Science & Technology B. Vol. 27, No. 6 (2009), pp ISSN [3] Matějka F. et al.: Modification of the Schottky FE ZrO/W electron emitter. Proceedings of the 17th IFSM International Microscopy Congress pp. I1.12: 1-2. ISBN

LIFT-OFF TECHNIQUE USING DIFFERENT E-BEAM WRITERS. Jana CHLUMSKÁ, Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Milan MATĚJKA, Michal URBÁNEK, Miroslav HORÁČEK

LIFT-OFF TECHNIQUE USING DIFFERENT E-BEAM WRITERS. Jana CHLUMSKÁ, Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Milan MATĚJKA, Michal URBÁNEK, Miroslav HORÁČEK LIFT-OFF TECHNIQUE USING DIFFERENT E-BEAM WRITERS Jana CHLUMSKÁ, Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Milan MATĚJKA, Michal URBÁNEK, Miroslav HORÁČEK Institute of Scientific Instruments of the ASCR, v.

More information

PLASMONIC STRUCTURES IN PMMA RESIST

PLASMONIC STRUCTURES IN PMMA RESIST PLASMONIC STRUCTURES IN PMMA RESIST Michal URBÁNEK a, Stanislav KRÁTKÝ a, MARCEL ŠIMÍK b, Vladimír KOLAŘÍK a, Miroslav HORÁČEK a, Milan MATĚJKA a a Institute of Scientific Instruments of the ASCR, v.v.i.,

More information

INSTITUTE OF SCIENTIFIC INSTRUMENT: AN OVERVIEW PRESENTATION

INSTITUTE OF SCIENTIFIC INSTRUMENT: AN OVERVIEW PRESENTATION Abstract INSTITUTE OF SCIENTIFIC INSTRUMENT: AN OVERVIEW PRESENTATION Vladimír KOLAŘÍK, Martin ZOBAČ, Tomáš FOŘT, Ivan VLČEK, Libor DUPÁK, Šárka MIKMEKOVÁ, Eliška MIKMEKOVÁ, Libor MRŇA, Miroslav HORÁČEK,

More information

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems Technology p. 9 The Parallels to Microelectronics p. 15 The

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Dr. Priyabrat Dash   Office: BM-406, Mob: Webpage:  MB: 205 Email: dashp@nitrkl.ac.in Office: BM-406, Mob: 8895121141 Webpage: http://homepage.usask.ca/~prd822/ MB: 205 Nonmanufacturing In continuation from last class... 2 Top-Down methods Mechanical-energy methods

More information

Fabrication of photonic band-gap crystals

Fabrication of photonic band-gap crystals Fabrication of photonic band-gap crystals C. C. Cheng and A. Scherer California Institute of Technology, Pasadena, California 91125 Received 19 June 1995; accepted 9 August 1995 We describe the fabrication

More information

Today s Class. Materials for MEMS

Today s Class. Materials for MEMS Lecture 2: VLSI-based Fabrication for MEMS: Fundamentals Prasanna S. Gandhi Assistant Professor, Department of Mechanical Engineering, Indian Institute of Technology, Bombay, Recap: Last Class What is

More information

Manufacturing Technologies for MEMS and SMART SENSORS

Manufacturing Technologies for MEMS and SMART SENSORS 4 Manufacturing Technologies for MEMS and SMART SENSORS Dr. H. K. Verma Distinguished Professor (EEE) Sharda University, Greater Noida (Formerly: Deputy Director and Professor of Instrumentation Indian

More information

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute in Göttingen, Germany, using a freestanding, 100nm-period

More information

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures VOLUME 4 - ELECTROFORMING Leveraging the Precision of over Alternative Processes When Developing Nano-scale Structures Electrical and mechanical component and subsystem designers generally have five techniques

More information

IBS/e Ion Beam Sputter Deposition and Etching System. IBS/e with KDC-10 Ion Beam Sputter Deposition and Etching System with Kaufman Ion Source

IBS/e Ion Beam Sputter Deposition and Etching System. IBS/e with KDC-10 Ion Beam Sputter Deposition and Etching System with Kaufman Ion Source IBS/e Ion Beam Sputter Deposition and Etching System IBS/e with KDC-10 Ion Beam Sputter Deposition and Etching System with Kaufman Ion Source The Model IBS/e is a high vacuum thin film deposition system

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Electron Beam Column electron gun beam on/of control magnetic deflection

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information

RIE lag in diffractive optical element etching

RIE lag in diffractive optical element etching Microelectronic Engineering 54 (2000) 315 322 www.elsevier.nl/ locate/ mee RIE lag in diffractive optical element etching Jyh-Hua Ting *, Jung-Chieh Su, Shyang Su a, b a,c a National Nano Device Laboratories,

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing 3. Conventional licon Processing Micromachining, Microfabrication. EE 5344 Introduction to MEMS CHAPTER 3 Conventional Processing Why silicon? Abundant, cheap, easy to process. licon planar Integrated

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB Fabrication Process Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation 1 Fabrication- CMOS Process Starting Material Preparation 1. Produce Metallurgical Grade Silicon

More information

Why Probes Look the Way They Do Concepts and Technologies of AFM Probes Manufacturing

Why Probes Look the Way They Do Concepts and Technologies of AFM Probes Manufacturing Agilent Technologies AFM e-seminar: Understanding and Choosing the Correct Cantilever for Your Application Oliver Krause NanoWorld Services GmbH All mentioned company names and trademarks are property

More information

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS Since Ag 2 Ga nanoneedles can be directly grown on (or even in place of) the tips on AFM cantilevers using the pulling technique

More information

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST H. Elsner and H.-G. Meyer Institute for Physical High Technology (IPHT), Dept. of Cryoelectronics,

More information

Micro-Nano Fabrication Research

Micro-Nano Fabrication Research Micro-Nano Fabrication Research Technical Education Quality Improvement Programme 22-23 December 2014 Dr. Rakesh G. Mote Assistant Professor Department of Mechanical Engineering IIT Bombay rakesh.mote@iitb.ac.in;

More information

Mostafa Soliman, Ph.D. May 5 th 2014

Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. May 5 th 2014 Mostafa Soliman, Ph.D. 1 Basic MEMS Processes Front-End Processes Back-End Processes 2 Mostafa Soliman, Ph.D. Wafers Deposition Lithography Etch Chips 1- Si Substrate

More information

Innovative Roll-to-Roll Equipment & Material Development Suite

Innovative Roll-to-Roll Equipment & Material Development Suite Innovative Roll-to-Roll Equipment & Material Development Suite For Next Generation Technology from Carpe Diem Technologies and the University of Massachusetts Amherst By John Berg, Dimitur Benchev, James

More information

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Journal of Optoelectronics and Advanced Materials Vol. 4, No. 3, September 2002, p. 809-812 MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Foundation for Research

More information

The Physical Structure (NMOS)

The Physical Structure (NMOS) The Physical Structure (NMOS) Al SiO2 Field Oxide Gate oxide S n+ Polysilicon Gate Al SiO2 SiO2 D n+ L channel P Substrate Field Oxide contact Metal (S) n+ (G) L W n+ (D) Poly 1 3D Perspective 2 3 Fabrication

More information

A Novel Extrusion Microns Embossing Method of Polymer Film

A Novel Extrusion Microns Embossing Method of Polymer Film Modern Mechanical Engineering, 2012, 2, 35-40 http://dx.doi.org/10.4236/mme.2012.22005 Published Online May 2012 (http://www.scirp.org/journal/mme) A Novel Extrusion Microns Embossing Method of Polymer

More information

AAO MCP Substrate Development at ANL. High Energy Physics Division, Materials Science Division Argonne National Laboratory Friday, June 11, 2010

AAO MCP Substrate Development at ANL. High Energy Physics Division, Materials Science Division Argonne National Laboratory Friday, June 11, 2010 AAO MCP Substrate Development at ANL Seon W. Lee and H. Hau Wang High Energy Physics Division, Materials Science Division Argonne National Laboratory Friday, June 11, 2010 Contents What is AAO? Advantage

More information

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system Introduction Xu Sun Laboratory of Photonics and Microwave Engineering, Royal Institute of Technology (KTH),

More information

Chemical Vapor Deposition

Chemical Vapor Deposition Chemical Vapor Deposition ESS4810 Lecture Fall 2010 Introduction Chemical vapor deposition (CVD) forms thin films on the surface of a substrate by thermal decomposition and/or reaction of gas compounds

More information

NANO-FABRICATION FOR MESOSCOPIC PHYSICS

NANO-FABRICATION FOR MESOSCOPIC PHYSICS NANO-FABRICATION FOR MESOSCOPIC PHYSICS Frédéric Pierre CNRS, Laboratory of Photonics and Nanostructures (LPN), Marcoussis, France ϕ Nano Team LPN PLAN Overview Electron beam lithography Step by step realization

More information

Surface plasmon dielectric waveguides

Surface plasmon dielectric waveguides Surface plasmon dielectric waveguides Igor I. Smolyaninov, Yu-Ju Hung, and Christopher C. Davis Department of Electrical and Computer Engineering, University of Maryland, College Park, MD 20742 Phone:

More information

Production and analysis of optical gratings and nanostructures created by laser based methods

Production and analysis of optical gratings and nanostructures created by laser based methods Summary of the Ph.D. thesis Production and analysis of optical gratings and nanostructures created by laser based methods Kiss Bálint Supervisor: Dr. Vass Csaba Research fellow Doctoral School in Physics

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Nanoelectronics Fabrication Facility

Nanoelectronics Fabrication Facility Nanoelectronics Fabrication Facility Contents Introduction 2 Mask Making Module 4 Photolithography Module 6 Wet Etching and CMP Module 8 Dry Etching and Sputtering Module 10 Thermal Process and Implantation

More information

DPN 5000 System. Figure 1: The DPN 5000 System. Page 1 of 5. Created on 9/9/2011 Revision

DPN 5000 System. Figure 1: The DPN 5000 System. Page 1 of 5. Created on 9/9/2011 Revision Introduction NanoInk s is a dedicated, versatile instrument capable of nanopatterning a variety of materials with nanoscale accuracy and precision. With NanoInk s proprietary MEMs devices and deposition

More information

UV15: For Fabrication of Polymer Optical Waveguides

UV15: For Fabrication of Polymer Optical Waveguides CASE STUDY UV15: For Fabrication of Polymer Optical Waveguides Master Bond Inc. 154 Hobart Street, Hackensack, NJ 07601 USA Phone +1.201.343.8983 Fax +1.201.343.2132 main@masterbond.com CASE STUDY UV15:

More information

Low aberration monolithic diffraction gratings for high performance optical spectrometers

Low aberration monolithic diffraction gratings for high performance optical spectrometers Low aberration monolithic diffraction gratings for high performance optical spectrometers P. Triebel 1, T. Diehl 1, M. Burkhardt 2, L. Erdmann 2, A. Kalies 2,A. Pesch 2, A. Gatto 2 1 Carl Zeiss Spectroscopy

More information

Journal of Advanced Mechanical Design, Systems, and Manufacturing

Journal of Advanced Mechanical Design, Systems, and Manufacturing Fabrication of the X-Ray Mask using the Silicon Dry Etching * Hiroshi TSUJII**, Kazuma SHIMADA**, Makoto TANAKA**, Wataru YASHIRO***, Daiji NODA** and Tadashi HATTORI** **Laboratory of Advanced Science

More information

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing Victor Usov, Graham Cross, Neal O Hara, Declan Scanlan, Sander Paulen, Chris de Ruijter, Daniel Vlasveld,

More information

Scanning thermal microscopy probe capable of simultaneous electrical imaging and the addition of a diamond tip

Scanning thermal microscopy probe capable of simultaneous electrical imaging and the addition of a diamond tip Scanning thermal microscopy probe capable of simultaneous electrical imaging and the addition of a diamond tip E Brown, L Hao, D C Cox and J C Gallop National Physical Laboratory, Hampton Road, Teddington,

More information

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance Copyright 2011 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoscience and Nanotechnology Vol. 11, 1 6, 2011 In-Situ Monitoring of Pattern Filling

More information

Tunable Nanoscale Plasmon Antenna for Localization and Enhancement of Optical Energy. Douglas Howe

Tunable Nanoscale Plasmon Antenna for Localization and Enhancement of Optical Energy. Douglas Howe Tunable Nanoscale Plasmon Antenna for Localization and Enhancement of Optical Energy Douglas Howe Applied Optics Spring 2008 Table of Contents Abstract... 3 Introduction... 4 Surface Plasmons... 4 Nano

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

3. Overview of Microfabrication Techniques

3. Overview of Microfabrication Techniques 3. Overview of Microfabrication Techniques The Si revolution First Transistor Bell Labs (1947) Si integrated circuits Texas Instruments (~1960) Modern ICs More? Check out: http://www.pbs.org/transistor/background1/events/miraclemo.html

More information

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant,

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant, Surface Acoustic Wave fabrication using nanoimprint Zachary J. Davis, Senior Consultant, zjd@teknologisk.dk Center for Microtechnology & Surface Analysis Micro and Nano Technology Sensor Technology Top

More information

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/16/2018 http://vixra.org/abs/1807.0188 Abstract This paper outlines a design for an EUV transmission

More information

NIR High-Efficiency Subwavelength DiEractive Structures In Semiconductors.

NIR High-Efficiency Subwavelength DiEractive Structures In Semiconductors. c NIR High-Efficiency Subwavelength DiEractive Structures In Semiconductors. R.E. Smith, M.E. Warren, J.R. Wendt and G.A. Vawter Sandia National Laboratories Albuquerque, NM 87185-0603 Abstract: We have

More information

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules 2. CMOS Fabrication, Layout, Design Rules Last module: Introduction to the course How a transistor works CMOS transistors This module: CMOS Fabrication Design Rules CMOS Fabrication CMOS transistors are

More information

Precision Optical Engineering

Precision Optical Engineering Precision Optical Engineering Products: Prisms Windows Mirrors Flats and Master angles Sight Glasses Key Features: Prisms (Contacted, Cemented, AR coated, Mounted) Windows (Flat, wedged, curved, drilled,

More information

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm J. T. Horstmann John_Horstmann@ieee.org C. Horst Christian.Horst@udo.edu K. F. Goser goser@ieee.org Abstract The

More information

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Simple method for formation of nanometer scale holes in membranes T. Schenkel 1, E. A. Stach, V. Radmilovic, S.-J. Park, and A. Persaud E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 When

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

CMOS Manufacturing process. Design rule set

CMOS Manufacturing process. Design rule set CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Thin Film Micro-Optics

Thin Film Micro-Optics Thin Film Micro-Optics New Frontiers of Spatio-Temporal Beam Shaping Ruediger Grunwald Max Born Institut for Nonlinear Optics and Short Pulse Spectroscopy Berlin, Germany ELSEVIER Amsterdam Boston Heidelberg

More information

We get small. Micron-scale Circuits and Structures from Prototype through Production

We get small. Micron-scale Circuits and Structures from Prototype through Production We get small. Micron-scale Circuits and Structures from Prototype through Production Smaller, tighter, better. When you need to produce ultra-small electrical, mechanical and optical components to extreme

More information

Superionic Solid State Stamping (S4)

Superionic Solid State Stamping (S4) Superionic Solid State Stamping (S4) Lead Faculty Researcher: Placid Ferreira Department: Materials Science & Engineering Hsu et al, Nano Letters, 2007 1. Description: This dry, single step, electrochemical

More information

2008 Summer School on Spin Transfer Torque

2008 Summer School on Spin Transfer Torque 2008 Summer School on Spin Transfer Torque Nano-scale device fabrication 2-July-2008 Byoung-Chul Min Center for Spintronics Research Korea Institute of Science and Technology Introduction Moore s Law

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

Advanced resists for e-beam lithography: processing, exposure and characterization (Part II)

Advanced resists for e-beam lithography: processing, exposure and characterization (Part II) Advanced resists for e-beam lithography: processing, exposure and characterization (Part II) Dra. Mariana Pojar de Melo Prof. Dr. Antonio Carlos Seabra Dep. Eng. de Sistemas Eletrônicos Escola Politécnica

More information

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES 10.1149/1.2794473, The Electrochemical Society PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES S. Yim a, C. Bonhôte b, J. Lille b, and T. Wu b a Dept. of Chem. and Mat. Engr., San

More information

Holographix LLC Overview. High quality custom replicated optics and surface relief patterns in production volumes

Holographix LLC Overview. High quality custom replicated optics and surface relief patterns in production volumes Holographix LLC Overview 2018 High quality custom replicated optics and surface relief patterns in production volumes One location in Marlborough, MA. 15,000 sq. ft. facility with additional space available

More information

Electrical Characterization of Tungsten Nanowires Deposited by Focused Ion Beam (FIB) *

Electrical Characterization of Tungsten Nanowires Deposited by Focused Ion Beam (FIB) * Nanopages 1 (2006) 2, 255 262 DOI: 10.1556/Nano.1.2006.2.10 Electrical Characterization of Tungsten Nanowires Deposited by Focused Ion Beam (FIB) * E. Horváth 1,2**, P. L. Neumann 2, A. L. Tóth 1, É. Vázsonyi

More information

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES Jack W. Judy and Richard S. Muller Berkeley Sensor & Actuator Center (BSAC) Department of EECS, University of California, Berkeley,

More information

Fabrication Technology, Part II

Fabrication Technology, Part II EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part II Agenda: Process Examples TI Micromirror fabrication process SCREAM CMOS-MEMS processes Wafer Bonding LIGA Reading: Senturia,

More information

PbS NANO THIN FILM PHOTOCONDUCTIVE DETECTOR

PbS NANO THIN FILM PHOTOCONDUCTIVE DETECTOR International Journal of Physics and Research (IJPR) ISSN 2250-0030 Vol. 3, Issue 3, Aug 2013, 21-26 TJPRC Pvt. Ltd. PbS NANO THIN FILM PHOTOCONDUCTIVE DETECTOR SUDAD S. AHMED, EMAN K. HASSAN & FATN EMAD

More information

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method 1 EUV Transmission Lens Design and Manufacturing Method Kenneth C. Johnson kjinnovation@earthlink.net 7/9/2018 Abstract This paper outlines a design for an EUV transmission lens comprising blazed, phase-

More information

Fabrication Technologies and Instruments. The available fabrication technologies and instruments for fabricating the sub-wavelength

Fabrication Technologies and Instruments. The available fabrication technologies and instruments for fabricating the sub-wavelength Chapter 3 Fabrication Technologies and Instruments 3.1 Introduction The available fabrication technologies and instruments for fabricating the sub-wavelength grating will be described in this chapter.

More information

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Authors: Jeb. H Flemming, Kevin Dunn, James Gouker, Carrie Schmidt, Roger Cook ABSTRACT Historically, while glasses have many

More information

Chapter 4 MICROSYSTEM FABRICATION PROCESSES

Chapter 4 MICROSYSTEM FABRICATION PROCESSES Chapter 4 MICROSYSTEM FABRICATION PROCESSES 4.1 Overview In past few years, advancements in fabrication technologies and state of the art measurement instrumentation led to establishment of micro electromechanical

More information

Making of a Chip Illustrations

Making of a Chip Illustrations Making of a Chip Illustrations 22nm 3D/Trigate Transistors Version April 2015 1 The illustrations on the following foils are low resolution images that visually support the explanations of the individual

More information

Silicon Microparticle Ejection Using Mist-jet Technology

Silicon Microparticle Ejection Using Mist-jet Technology Yokoyama et al.: Silicon Microparticle Ejection Using Mist-jet Technology (1/5) [Technical Paper] Silicon Microparticle Ejection Using Mist-jet Technology Yoshinori Yokoyama*, Takaaki Murakami*, Takashi

More information

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS Contents: VI Sem ECE 06EC63: Analog and Mixed Mode VLSI Design PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS 1. Introduction 2. CMOS Fabrication 3. Simplified View of Fabrication Process 3.1 Alternative

More information

3. Monodomain porous alumina obtained by nanoimprint lithography

3. Monodomain porous alumina obtained by nanoimprint lithography 3. Monodomain porous alumina obtained by nanoimprint lithography 3.1 Nanoimprint lithography (NIL) In the previous chapter, the preparation of polydomain porous alumina by self-ordering was discussed.

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis Supporting Information: Model Based Design of a Microfluidic Mixer Driven by Induced Charge Electroosmosis Cindy K. Harnett, Yehya M. Senousy, Katherine A. Dunphy-Guzman #, Jeremy Templeton * and Michael

More information

More on VLSI Fabrication Technologies. Emanuele Baravelli

More on VLSI Fabrication Technologies. Emanuele Baravelli More on VLSI Fabrication Technologies Emanuele Baravelli Some more details on: 1. VLSI meaning 2. p-si epitaxial layer 3. Lithography 4. Metallization 5. Process timings What does VLSI mean, by the way?

More information

zyvex TEM Sample Lift-out Using the Zyvex Nanoprober System By Kimberly Tuck, Zyvex Corporation

zyvex TEM Sample Lift-out Using the Zyvex Nanoprober System By Kimberly Tuck, Zyvex Corporation TEM Sample Lift-out Using the Zyvex Nanoprober System By Kimberly Tuck, Zyvex Corporation Introduction The Zyvex Nanoprober System, coupled with a focused ion beam (FIB) tool, is a complete solution for

More information

Fabrication and Layout

Fabrication and Layout ECEN454 Digital Integrated Circuit Design Fabrication and Layout ECEN 454 3.1 A Glimpse at MOS Device Polysilicon Aluminum ECEN 475 4.2 1 Material Classification Insulators Glass, diamond, silicon oxide

More information

WET-ETCHING OF SB-SE THIN FILMS OF STOICHIOMETRIC COMPOUND AND WITH EXCESS SELENIUM

WET-ETCHING OF SB-SE THIN FILMS OF STOICHIOMETRIC COMPOUND AND WITH EXCESS SELENIUM Oksana Shiman Innovative Microscopy Center, Latvia WET-ETCHING OF SB-SE THIN FILMS OF STOICHIOMETRIC COMPOUND AND WITH EXCESS SELENIUM Abstract The present paper deals with the wet etching of Sb x Se 100-x

More information

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine Nanoimprinting in Polymers and Applications in Cell Studies Albert F. YEE Chemical Engineering & Materials Science UC Irvine Presentation outline Motivation Reversal imprinting Soft inkpad imprinting on

More information

Title: Localized surface plasmon resonance of metal nanodot and nanowire arrays studied by far-field and near-field optical microscopy

Title: Localized surface plasmon resonance of metal nanodot and nanowire arrays studied by far-field and near-field optical microscopy Contract Number: AOARD-06-4074 Principal Investigator: Heh-Nan Lin Address: Department of Materials Science and Engineering, National Tsing Hua University, 101, Sec. 2, Kuang Fu Rd., Hsinchu 30013, Taiwan

More information

Nanotechnology Principles, Applications, Careers, and Education. Copyright 2011 The Pennsylvania State University

Nanotechnology Principles, Applications, Careers, and Education. Copyright 2011 The Pennsylvania State University Nanotechnology Principles, Applications, Careers, and Education Copyright 2011 The Pennsylvania State University Outline What are the principles of nanotechnology? What are some applications? What kind

More information

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining Sādhanā Vol. 34, Part 4, August 2009, pp. 557 562. Printed in India Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining VIVEKANAND BHATT 1,, SUDHIR CHANDRA 1 and

More information

Introduction to Lithography

Introduction to Lithography Introduction to Lithography G. D. Hutcheson, et al., Scientific American, 290, 76 (2004). Moore s Law Intel Co-Founder Gordon E. Moore Cramming More Components Onto Integrated Circuits Author: Gordon E.

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules EE 432 VLSI Modeling and Design 2 CMOS Fabrication

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

3D Nano-analysis Technology for Preparing and Observing Highly Integrated and Scaled-down Devices in QTAT

3D Nano-analysis Technology for Preparing and Observing Highly Integrated and Scaled-down Devices in QTAT Hitachi Review Vol. 54 (2005), No. 1 27 3D Nano-analysis Technology for Preparing and Observing Highly Integrated and Scaled-down Devices in QTAT Toshie Yaguchi Takeo Kamino Tsuyoshi Ohnishi Takahito Hashimoto

More information

ECE 541/ME 541 Microelectronic Fabrication Techniques

ECE 541/ME 541 Microelectronic Fabrication Techniques ECE 541/ME 541 Microelectronic Fabrication Techniques MW 4:00-5:15 pm Metrology and Characterization Zheng Yang ERF 3017, email: yangzhen@uic.edu ECE541/ME541 Microelectronic Fabrication Techniques Page

More information

Platypus Gold Coated Substrates. Bringing Science to the Surface

Platypus Gold Coated Substrates. Bringing Science to the Surface Platypus Gold Coated Substrates Bringing Science to the Surface Overview Gold Coated Substrates - Gold Coating Introduction - Glossary of Terms - Gold Coating Methods - Critical Features Platypus Gold

More information