Forecast of Used Equipment Market Based on Demand & Supply

Size: px
Start display at page:

Download "Forecast of Used Equipment Market Based on Demand & Supply"

Transcription

1 Forecast of Used Equipment Market Based on Demand & Supply Thomas LEE

2 Ⅰ. Market Introduction _ Wafer Demand by Devices Type and Used Equipment Targets 20 to to 0.5 > 0.50 Computing Microprocessors DRAM DSPs NAND Flash Standard Cells ASICs FPGAS Embedded MPUs MCUs Some DSPs Some Standard Cell ASICs Other Micrologic Analog Discretes LED 2/16

3 Ⅰ. Market Introduction _ Wafer Size Conversion History /16

4 Ⅰ. Market Introduction _ 200mm/150mm Fabs Worldwide Europe 167 Korea 43 China 156 Japan 219 USA 241 Taiwan 89 Singapore & Malaysia 27 Rest of World 19 Source: SEMI World Fab Watch May /16

5 Ⅰ. Market Introduction _ 200mm Production Trend Wafers per Year (in Millions) 200mm Product Production Trends MEMS 80 Discrete, Opto, Sensors Analog IC Logic Memory Source: isuppli Q1 12 Unit growth driven by conversion and expansion 5/16

6 Ⅱ. 200mm Supply Analysis (1/2) _ Who supply mm Conversion Samsung, Hynix, Toshiba, Micron, Intel, AMD 2. Fabless or Fab-lite trends in Japan, US & EU IDMs in USA, Europe and Japan 3. Shutdown and Restructuring Qimonda, 6 Fabs in USA, Europe and Japan 6/16

7 Ⅱ. 200mm Supply Analysis (2/2) Current Estimation in 2012 Estimated Tool Supply in 2013 [19] 5,6 Projects 3,200 Tools [20-30] 8 Projects 1,800 Tools [8-11] 12 Projects 1,800 Tools Total 52 package sales projects 6,800 tools 55-60% from Japan and Taiwan 7/16

8 Ⅲ. 200mm Demand Analysis (1/3) 1. New Applications LED (New Driving Force of Secondary Market), Mems, Solar, R&D 2. Tech buy and Capa buy Few Chipmakers have expansion plan currently Small Tech buy Demand at the moments 3. New Fab Plan Mainly in Asia such as China, Taiwan, India, Malaysia, Brazil 8/16

9 Ⅲ. 200mm Demand Analysis (2/3) Expanding demand in analog, discrete, power and MEMS - Transition from 6 to 8 - Capacity expansion Expanding LED market - Transition to 4, 6, possibly 8 within several years Challenge to 0.13μm process - Limited Scanner, Track, Epi, Metal Etch and CMP capacity - More than 70% of supply from Memory fabs. not optimized for current market drivers: analog/discrete, power, MEMS 9/16

10 Ⅲ. 200mm Demand Analysis (3/3) Clear information - Tool configuration and condition, Ownership, etc Cost down - As is, Operational tool condition or semi-refurb More options and flexibility - As is to Turn-key or mixed-solution - Power-on inspection - Additional service (logistic, financing, etc) 10/16

11 SurplusGLOBAL s Position Buy and sell thousands of tools every year Currently have over 1,000 tools in our inventory 700 clean room storage space (=7,500 ft2) 24,000 Temperature and humidity controlled warehouse (=258,330 ft2) Proven Track Records Best in Class Facilities Wide coverage from Front-end,Back-end, Display, LED and PCB Assembly industry Dedicated Value Added Services Full Range of Industry Expertise No. 1 Turn-key purchase experiences Global Sourcing Network Worldwide customer base for 13 years Business Network 20 Marketing Specialists in Korea, Taiwan, China and USA Meet SurplusGLOBAL at Semicon shows Free, Direct,& Global Online Marketplace Top Class Marketing Capabilities 11/16

12 SurplusGLOBAL Facilities The World Top Class Facilities 24,000 M2 Temperature and Humidity Controlled Warehouse Clean room Taiwan 2011 Singapore Japan Japan 2011 Clean booth 12/16

13 Item Coverage We buy and sell various secondary equipment in Semiconductor Front-end, Back-end and Display Industry Front-End Others Photo: Stepper, Scanner, Aligner LCD TFT Equipment LED Manufacturing Equipment Solar Equipment Track: Track, Scrubber Thin Film: CVD, PVD,EPI Etch : Etcher, Asher Back - End Diffusion: RTP, Furnace, Implanter CMP & WET: CMP, Wet bench Metrology: CD-SEM, Surfscan, Wafer Inspection Overlay ATE: Memory tester, LCD driver tester SOC tester/logic tester, Handler /Prober Laser repair system Package: Wire bonder, Die bonder, Dicing saw, Back grinder, Flip chip bonder SMT: Chip mounter, Screen printer, Reflow, Auto inserter, Inspection equipment 13/16

14 Services We buy and sell various secondary equipment in Semiconductor Front-end, Back-end and Display Industry 1. Equipment Sales 2. Technical Service Equipment Acquisition and Sales Reconfiguration As is where is Refurbishment Power on Demonstration Remarketing Logistic Services 3. Others Valuation Rental 14/16

15 Free, Direct, Global Marketplace Sharing the latest updated tool information in real time 15/16

16 SurplusGLOBAL One Stop Platform for Trustworthy Solutions Thank you -16-

Close supply chain collaboration enables easy implementation of chip embedded power SiP

Close supply chain collaboration enables easy implementation of chip embedded power SiP Close supply chain collaboration enables easy implementation of chip embedded power SiP Gerald Weidinger, R&D Project Leader, AT&S AT & S Austria Technologie & Systemtechnik Aktiengesellschaft Fabriksgasse13

More information

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack 1 3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack Advantest Corporation 2 The final yield Any Multi-die Product Must Consider the Accumulated Yield Assume Test Can Provide 99% Die

More information

PHILIPPINE ELECTRONICS INDUSTRY

PHILIPPINE ELECTRONICS INDUSTRY ABOUT THE PHILIPPINE ELECTRONICS INDUSTRY a snapshot ERNIE B. SANTIAGO The Philippine Economy CHANGING FACE OF EXPORTS Other Mftrs. 11% Garments 7% Electronics 3% Others 2% Forest Based 10% Agro- Based

More information

Customer Support: Leveraging Value of Ownership

Customer Support: Leveraging Value of Ownership Customer Support: Leveraging Value of Ownership Bernard Carayon SVP Customer Support WW Analyst Day, 30 September 2004 / Slide 1 Agenda! Customer Support main activities! Worldwide Organization and installed

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014 Materials Examples Process materials used to make semiconductor devices Gases

More information

Semiconductor Packaging and Assembly 2002 Review and Outlook

Semiconductor Packaging and Assembly 2002 Review and Outlook Gartner Dataquest Alert Semiconductor Packaging and Assembly 2002 Review and Outlook During 2002, the industry continued slow growth in unit volumes after bottoming out in September 2001. After a hearty

More information

Chip Packaging for Wearables Choosing the Lowest Cost Package

Chip Packaging for Wearables Choosing the Lowest Cost Package Chip Packaging for Wearables Choosing the Lowest Cost Package Alan Palesko alanp@savansys.com (512) 402-9943 www.savansys.com Slide - 1 Agenda Introduction Wearable Requirements Packaging Technologies

More information

Business level strategy SAMSUNG

Business level strategy SAMSUNG Business level strategy SAMSUNG From business-level strategies, a competitive advantage of a business can be created over its rivals. Differentiation vs. cost leadership The company has an experience of

More information

ams Ambient Light Sensor (ALS) with WLCSP TSV Packaging

ams Ambient Light Sensor (ALS) with WLCSP TSV Packaging ams Ambient Light Sensor (ALS) with WLCSP TSV Packaging World s Smallest Ambient Light Sensor for Wearable Applications ams has adopted through-silicon via (TSV) packaging technology for advanced light

More information

YOUR Strategic TESTING ENGINEERING CONCEPT SMT FLIP CHIP PRODUCTION OPTO PACKAGING PROCESS DEVELOPMENT CHIP ON BOARD SUPPLY CHAIN MANAGEMENT

YOUR Strategic TESTING ENGINEERING CONCEPT SMT FLIP CHIP PRODUCTION OPTO PACKAGING PROCESS DEVELOPMENT CHIP ON BOARD SUPPLY CHAIN MANAGEMENT YOUR Strategic TECHNOLOGY PARTNER Wafer Back-End OPTO PACKAGING PROCESS DEVELOPMENT CONCEPT FLIP CHIP PROTOTYping ENGINEERING TESTING SMT PRODUCTION CHIP ON BOARD SUPPLY CHAIN MANAGEMENT Next Level 0f

More information

Investor presentation 24 April 2013

Investor presentation 24 April 2013 Investor presentation 24 April 2013 2009 ASM Proprietary Information Safe Harbor Statements All matters discussed in this business and strategy update, except for any historical data, are forward-looking

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

mcube MC3635: The Smallest MEMS Accelerometer for Wearables

mcube MC3635: The Smallest MEMS Accelerometer for Wearables mcube MC3635: The Smallest MEMS Accelerometer for Wearables Ultra-low power 3D TSV MEMS Single-Chip 3-axis Accelerometer With its market share increasing every year, mcube is seeking to become a leader

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

IC Integrated Manufacturing Outsourcing Solution

IC Integrated Manufacturing Outsourcing Solution IC Integrated Manufacturing Outsourcing Solution Integrated One-Stop Service Mature and Low Cost Loop for IC Manufacturing Taiwan s Comprehensive Resources Fast and Easy Engagement to Our Solution Professional

More information

Samsung 3D TSV Stacked DDR4 DRAM

Samsung 3D TSV Stacked DDR4 DRAM Samsung 3D TSV Stacked DDR4 DRAM The First Memory product with Via-Middle TSV! 3D TSV technology is expected to reach $4.8B in revenues by 2019, mainly driven by 3D stacked DRAM and followed by 3D Logic/Memory

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Bosch BME680 Environmental Sensor with Integrated Gas Sensor

Bosch BME680 Environmental Sensor with Integrated Gas Sensor Bosch BME680 Environmental Sensor with Integrated Gas Sensor The world's first environmental sensor combining gas, pressure, humidity and temperature sensing functions in a 3mm x 3mm footprint package

More information

Simulation-based Smart Operation Management System for Semiconductor Manufacturing

Simulation-based Smart Operation Management System for Semiconductor Manufacturing Simulation-based Smart Operation Management System for Semiconductor Manufacturing Byoung K. Choi 1*, and Byung H. Kim 2 1 Dept of Industrial & Systems Engineering, KAIST, Yuseong-gu, Daejeon, Republic

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

FLIP CHIP CHIP ON BOARD SMT ENGINEERING OPTO PACKAGING SUPPLY CHAIN MANAGEMENT TESTING YOUR INNOVATIVE TECHNOLOGY PARTNER PRODUCTION CONCEPT

FLIP CHIP CHIP ON BOARD SMT ENGINEERING OPTO PACKAGING SUPPLY CHAIN MANAGEMENT TESTING YOUR INNOVATIVE TECHNOLOGY PARTNER PRODUCTION CONCEPT YOUR INNOVATIVE TECHNOLOGY PARTNER CHIP ON BOARD OPTO PACKAGING PROCESS DEVELOPMENT CONCEPT FLIP CHIP ENGINEERING TESTING PRODUCTION SMT SUPPLY CHAIN MANAGEMENT PROTOTYPES HIGH-PRECISION ASSEMBLY OF MICRO-

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

NXP SCM-i.MX6 Quad High Density Fan-Out Wafer-Level System-in-Package

NXP SCM-i.MX6 Quad High Density Fan-Out Wafer-Level System-in-Package NXP SCM-i.MX6 Quad High Density Fan-Out Wafer-Level System-in-Package The first ultra-small multi-die low power module with boot memory and power management integrated in a package-on-package compatible

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Industry Leading Provider of Outsourced Semiconductor Assembly, Test & Bumping Services

Industry Leading Provider of Outsourced Semiconductor Assembly, Test & Bumping Services Industry Leading Provider of Outsourced Semiconductor Assembly, Test & Bumping Services November, 217 Safe Harbor Notice This presentation contains certain forward-looking statements. These forward-looking

More information

November Global reach China s impact on the semiconductor industry 2010 update

November Global reach China s impact on the semiconductor industry 2010 update November 2010 Global reach China s impact on the semiconductor industry 2010 update About PwC PwC firms provide industry-focused assurance, tax and advisory services to enhance value for their clients.

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

Qualcomm WCD9335 Fan-Out WLP Audio Codec

Qualcomm WCD9335 Fan-Out WLP Audio Codec Qualcomm WCD9335 Fan-Out WLP Audio Codec Qualcomm s Fan-Out Wafer-Level Package Chip Audio Codec in ewlb Package inside Samsung Galaxy S7 and S7 Edge Qualcomm, a world leader in mobile technologies, offers

More information

Prudential s Agency Model Overview. Dan Bardin Prudential Corporation Asia November 2004

Prudential s Agency Model Overview. Dan Bardin Prudential Corporation Asia November 2004 Prudential s Agency Model Overview Dan Bardin Prudential Corporation Asia November 2004 4 Importance of agency Agency is Asia s largest distribution channel Customer preference for face to face Importance

More information

St.JOHNS COLLEGE OF ENGINEERING AND TECHNOLOGY,

St.JOHNS COLLEGE OF ENGINEERING AND TECHNOLOGY, PRESENTED BY S.SRIKANTH REDDY Y.MARUTHI III B.tech III.B.tech Sri.prince087@gmail.com St.JOHNS COLLEGE OF ENGINEERING AND TECHNOLOGY, YERRAKOTA, YEMIGANUR, KURNOOL (Dist), ANDHRA PRADESH. ABSTRACT VLSI

More information

Quality in Electronic Production has a Name: Viscom. System Overview

Quality in Electronic Production has a Name: Viscom. System Overview Quality in Electronic Production has a Name: Viscom System Overview Successful along the whole line with Viscom Circuit board manufacturing Paste print Finish S3070 AFI-Scan S3054QS Paste print Thick film

More information

Turn-key Production System for Solar Cells

Turn-key Production System for Solar Cells SOLARE Turn-key Production System for Solar Cells 02 Innovations for New Technologies provides technology solutions for both crystalline and thin-film highperformance solar cell platforms. Our production

More information

FOR SEMICONDUCTORS 2005 EDITION

FOR SEMICONDUCTORS 2005 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2005 EDITION YIELD ENHANCEMENT THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Wafer probe challenges for the automotive market Luc Van Cauwenberghe

Wafer probe challenges for the automotive market Luc Van Cauwenberghe Wafer probe challenges for the automotive market Luc Van Cauwenberghe ON Semiconductor Overview Automotive wafer probe requirements Results of experiments Summary Follow on Work Acknowledgements 2 Automotive

More information

Anti-Counterfeiting of Integrated Circuits: RFID Tags as a Countermeasure

Anti-Counterfeiting of Integrated Circuits: RFID Tags as a Countermeasure Anti-Counterfeiting of Integrated Circuits: RFID Tags as a Countermeasure Sathya Kanth Vardhanapu Fall 2015 1 Counterfeiting of Integrated Circuits Counterfeit Electronic part is defined by U.S. Dept.

More information

Infineon RASIC: RRN7740 & RTN GHz Radar Dies

Infineon RASIC: RRN7740 & RTN GHz Radar Dies Infineon RASIC: RRN7740 & RTN7750 77GHz Radar Dies New Receiver & Transmitter components with a SiGe:C HBT technology from Infineon The new integrated Radar and Camera (RACam) 77GHz automotive radar from

More information

Samsung LM101A Chip Scale Package LED

Samsung LM101A Chip Scale Package LED Samsung LM101A Chip Scale Package LED LED report by Sylvain Hallereau February 2017 21 rue la Noue Bras de Fer 44200 NANTES - FRANCE +33 2 40 18 09 16 info@systemplus.fr www.systemplus.fr 2017 by System

More information

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process. CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

Apple iphone 7 Plus: MEMS Microphones from Knowles, STMicroelectronics, and Goertek-Infineon

Apple iphone 7 Plus: MEMS Microphones from Knowles, STMicroelectronics, and Goertek-Infineon Apple iphone 7 Plus: MEMS Microphones from Knowles, STMicroelectronics, and Goertek-Infineon Introducing a brand-new design and process from three of Apple s main MEMS microphone suppliers. The MEMS microphone

More information

Delivering Sensor & Microelectronic Solutions Worldwide

Delivering Sensor & Microelectronic Solutions Worldwide Delivering Sensor & Microelectronic Solutions Worldwide Over 500 million die shipped per year Our Vision To put Semefab at the heart of today s life enhancing semiconductor and MEMS sensor products. To

More information

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction PerformanceProfile Replacing Hydroxyl-amine-based Chemistries with Semi-aqueous-based Cleaning Formulations Generates Improved Yields in Post-etch Metal and Oxide Residue Removal by: Mustapha, Nik Senior

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

New Technology for High-Density LSI Mounting in Consumer Products

New Technology for High-Density LSI Mounting in Consumer Products New Technology for High-Density Mounting in Consumer Products V Hidehiko Kira V Akira Takashima V Yukio Ozaki (Manuscript received May 29, 2006) The ongoing trend toward downsizing and the growing sophistication

More information

Mar 2015 Sept 2012 INNOVATION BEYOND TECHNOLOGY

Mar 2015 Sept 2012 INNOVATION BEYOND TECHNOLOGY Mar 2015 Sept 2012 INNOVATION BEYOND TECHNOLOGY 1 About the VTech Group Locations Headquartered in HKG since 1976 Listed in HKG (HKSE:303) Factories in HouJie, LiaoBu & QingYuan, R&D Center in SZ Operations

More information

"ewlb Technology: Advanced Semiconductor Packaging Solutions"

ewlb Technology: Advanced Semiconductor Packaging Solutions "ewlb Technology: Advanced Semiconductor Packaging Solutions" by Sharma Gaurav@, S.W. Yoon, Yap Yok Mian, Shanmugam Karthik, Yaojian Lin, Pandi C. Marimuthu and Yeong J. Lee* STATS ChipPAC Ltd. 5 Yishun

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

FOR SEMICONDUCTORS 2007 EDITION

FOR SEMICONDUCTORS 2007 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2007 EDITION YIELD ENHANCEMENT THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

Canon Inc. Third Quarter 2017 Analyst Meeting Tuesday, October 24, 2017

Canon Inc. Third Quarter 2017 Analyst Meeting Tuesday, October 24, 2017 Canon Inc. Third Quarter 2017 Analyst Meeting Tuesday, October 24, 2017 Speech Summary (English Translation) Toshizo Tanaka Executive Vice President & CFO This document contains forward-looking statements

More information

Contents. Semiconductor DQ Monday Report Issue 47

Contents. Semiconductor DQ Monday Report Issue 47 Semiconductor DQ Monday Report Issue 47 Introduction to Semiconductor DQ Monday Report Semiconductor DQ Monday Report allows Gartner Dataquest analysts to share opinions and analysis of key events as they

More information

ams Multi-Spectral Sensor in the Apple iphone X

ams Multi-Spectral Sensor in the Apple iphone X ams Multi-Spectral Sensor in the Apple iphone X The most advanced multispectral 6-channel ambient light sensor, supplied and produced by ams for its biggest customer, Apple For the semiconductor industry,

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

SGS-Thomson M17C1001 1Mb UVEPROM

SGS-Thomson M17C1001 1Mb UVEPROM Construction Analysis SGS-Thomson M17C1001 1Mb UVEPROM Report Number: SCA 9612-518 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780

More information

SPV Market Research Technology Shipments International Industry Workshop on CIGS at EU PVSEC 2016 in Munich

SPV Market Research Technology Shipments International Industry Workshop on CIGS at EU PVSEC 2016 in Munich 1 SPV Market Research Technology Shipments 1995-2015 International Industry Workshop on CIGS at EU PVSEC 2016 in Munich Paula Mints 1408-221-1564 pmints@spvmarketresearch.com www.spvmarketresearch.com

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Innovative Laser Processing Technologies

Innovative Laser Processing Technologies Innovative Laser Processing Technologies Reinhard Ferstl Director Sales & Marketing EMEA / Asia Corning Laser Technologies September 21, 2016 2016 Corning Incorporated Corning Market Segments and Additional

More information

3D-Micromac AG. Micromachining Excellence

3D-Micromac AG. Micromachining Excellence 3D-Micromac AG Micromachining Excellence Our international customers place great value on future-oriented and user-friendly processes. Our solutions help them increase production efficiency and lower cost.

More information

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb)

System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) System-in-Package (SiP) on Wafer Level, Enabled by Fan-Out WLP (ewlb) Steffen Kröhnert, José Campos, Eoin O Toole NANIUM S.A., Vila do Conde, Portugal Outline Short Company Overview NANIUM Introduction

More information

Abstract. Introduction

Abstract. Introduction Accelerating Silicon Carbide Power Electronics Devices into High Volume Manufacturing with Mechanical Dicing System By Meng Lee, Director, Product Marketing and Jojo Daof, Senior Process Engineer Abstract

More information

A global outlook for borates. Rio Tinto Minerals April 2014

A global outlook for borates. Rio Tinto Minerals April 2014 A global outlook for borates Rio Tinto Minerals April 2014 Cautionary statement This presentation has been prepared by Rio Tinto plc and Rio Tinto Limited ( Rio Tinto ) and consisting of the slides for

More information

High Yield Dicing of 100 mm and 150 mm SiC Wafer with High Throughput

High Yield Dicing of 100 mm and 150 mm SiC Wafer with High Throughput High Yield Dicing of 100 mm and 150 mm SiC Wafer with High Throughput Hans-Ulrich Zühlke (3D-Micromac, Chemnitz) Dirk Lewke (Fraunhofer IISB, Erlangen) Content 1. Motivation 2. Basics of TLS-Dicing 3.

More information

Recent Advances in Die Attach Film

Recent Advances in Die Attach Film Recent Advances in Die Attach Film Frederick Lo, Maurice Leblon, Richard Amigh, and Kevin Chung. AI Technology, Inc. 70 Washington Road, Princeton Junction, NJ 08550 www.aitechnology.com Abstract: The

More information

Keysight T150 UTM. Universal Testing Machine. Data Sheet

Keysight T150 UTM. Universal Testing Machine. Data Sheet Keysight T150 UTM Universal Testing Machine Data Sheet Overview The Keysight Technologies, Inc. T150 UTM is a universal testing machine that offers researchers a superior means of nanomechanical characterization.

More information

POWERFUL LOGISTICS FOR THE SOLAR INDUSTRY. Read on

POWERFUL LOGISTICS FOR THE SOLAR INDUSTRY. Read on POWERFUL LOGISTICS FOR THE SOLAR INDUSTRY Read on Contents Understanding Understanding Understanding The last decade in the solar industry brought unprecedented growth. And currently the demand for solar

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

Hot Chips: Stacking Tutorial

Hot Chips: Stacking Tutorial Hot Chips: Stacking Tutorial Choon Lee Technology HQ, Amkor Enabling a Microelectronic World Mobile Phone Technology Change Feature Phone Smartphone Smartphones as a Percentage of All Phones Source : The

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection CTO, Maydan Technology Center Applied Materials, Inc. Mike_Smayling@amat.com Topics Introduction to Test Chips Test Structures Basic

More information

TMS320C6000 BGA Manufacturing Considerations

TMS320C6000 BGA Manufacturing Considerations TMS320C6000 BGA Manufacturing Considerations David Bell C6000 Applications Team Abstract When designing with a high-density BGA package, it is important to be aware of different techniques that aid in

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Click to edit Master title style

Click to edit Master title style Applying the CMOS Test Flow to MEMS Click to edit Master title style Manufacturing Mike Daneman InvenSense, Inc. Overview InvenSense Overview Test vs. Fabrication Model CMOS Model Traditional MEMS Model

More information

3D-WLCSP Package Technology: Processing and Reliability Characterization

3D-WLCSP Package Technology: Processing and Reliability Characterization 3D-WLCSP Package Technology: Processing and Reliability Characterization, Paul N. Houston, Brian Lewis, Fei Xie, Ph.D., Zhaozhi Li, Ph.D.* ENGENT Inc. * Auburn University ENGENT, Inc. 2012 1 Outline Packaging

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Advanced STI CMP Solutions for New Device Technologies

Advanced STI CMP Solutions for New Device Technologies Advanced STI CMP Solutions for New Device Technologies Jeffrey David, Benjamin A. Bonner, Thomas H. Osterheld, Raymond R. Jin Applied Materials, 3111 Coronado Drive, M/S 1510, Santa Clara, CA 95054 (408)986-3277

More information

FOR SEMICONDUCTORS 2007 EDITION

FOR SEMICONDUCTORS 2007 EDITION INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS 2007 EDITION ASSEMBLY AND PACKAGING THE ITRS IS DEVISED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND IS WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS

More information

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd ConFab Bridging the Fabless-Foundry Gap BJ Woo Sr. Director Business Development TSMC 2 Outline Fabless Requirements Technology Scaling Challenges IP Quality Foundry Integrated Manufacturing Value Summary

More information

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis DATASHEET YieldManager Customizable yield management for IC manufacturers Overview For semiconductor foundries and IDMs that must maintain high yield for their products and real-time identification of

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

PRODUCT INFORMATION. DWS Pallet MEASURING AND WEIGHING PALLETS AND OTHER BULKY FREIGHT ITEMS. Track and trace systems

PRODUCT INFORMATION. DWS Pallet MEASURING AND WEIGHING PALLETS AND OTHER BULKY FREIGHT ITEMS. Track and trace systems PRODUCT INFORMATION DWS Pallet MEASURING AND WEIGHING PALLETS AND OTHER BULKY FREIGHT ITEMS Track and trace systems 2 DWS Pallet TRACK AND TRACE SYSTEMS SICK 8018446/2015-11-19 PRECISION THAT PAYS OFF

More information

Air Time Critical. Emergency air freight solutions, For the most urgent industrial shipments. Worldwide hours delivered

Air Time Critical. Emergency air freight solutions, For the most urgent industrial shipments. Worldwide hours delivered Air Time Critical Emergency air freight solutions, For the most urgent industrial shipments Worldwide 24-48 hours delivered Air Time Critical Emergency air freight solutions, For the most urgent industrial

More information

Tokyo Electron Corporate Update

Tokyo Electron Corporate Update Tokyo Electron Corporate Update October 31, 2017 1 Contents 1. TEL Overview 2. Medium-term Management Plan TEL Initiatives and Progress 3. FY2018 Business Environment and Financial Estimates 3 9 21 Appendix

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Material Shortage & Price Trend

Material Shortage & Price Trend Report Material Shortage & Price Trend Author: Di Han July 21, 2017 Silecta Inc. 206-308 Legget Drive Kanata, Ontario, Canada K2K 1Y6 +1-613-270-0567 www.silecta.com Introduction... 3 I. Multilayer Ceramic

More information

Company Presentation

Company Presentation Company Presentation Overview Facts, Vision and Mission Portfolio, Markets and Capabilities Smart Cosmos The Internet of Your Things Quality and Certifications 2 Key Facts Global RFID and IoT pacesetter,

More information

Advanced Automation based on Standards

Advanced Automation based on Standards Advanced Automation based on Standards How other industries can profit from automation concepts in semiconductor manufacturing 14 th AIS User Conference Dresden June 26 th, 2014 Dr. Martin Schellenberger

More information

IISW-2009 BSI technical challenges

IISW-2009 BSI technical challenges IISW-2009 BSI technical challenges Bergen. 25th June 2009 Outline BSI consumer vs BSI scientific BSI vs FSI Remaining challenges Charges collection BSI overlay challenges BSI laser annealing Thinning Process

More information

SCHOTT MEMpax New options for the MEMS industry. NMN Technology Day Schott AG Grünenplan

SCHOTT MEMpax New options for the MEMS industry. NMN Technology Day Schott AG Grünenplan SCHOTT MEMpax New options for the MEMS industry NMN Technology Day Schott AG Grünenplan 06.11.2012 Agenda 2 Agenda 1. SCHOTT thin glass for Electronics & Biotech 2. MEMS Industry and Motivation for MEMpax

More information

Opening: System Lab. Press Briefing, March 30, 2004 Graz, Austria. N e v e r s t o p t h i n k i n g. RFID Solution Excellence Center

Opening: System Lab. Press Briefing, March 30, 2004 Graz, Austria. N e v e r s t o p t h i n k i n g. RFID Solution Excellence Center Infineon Page 1 of 30, March 30, 2004 Graz, Austria Opening: System Lab Stefan Rohringer Director, Development Center Graz Bodo Ischebeck Senior Director & General Manager, Emerging Business, Ident Solutions

More information

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip SzePei Lim (Presenter), Jason Chou, Maria Durham, and Dr. Andy Mackie Indium Corporation 1 Outline of Presentation Roadmaps and challenges

More information

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy - Masanori Shirai*, Satoru Takazawa*, Satoru Ishibashi*, Tadashi Masuda* As flat-screen TVs become larger and their

More information

NXP MR2001 Multi-Channel 77 GHz Radar Rx/Tx/VCO Fan-Out RCP Chipset

NXP MR2001 Multi-Channel 77 GHz Radar Rx/Tx/VCO Fan-Out RCP Chipset NXP MR2001 Multi-Channel 77 GHz Radar Rx/Tx/VCO Fan-Out RCP Chipset The new 77 GHz Radar Chipset for ADAS from NXP/Freescale SiGe:C xhbt technology & Fan-Out RCP Wafer-Level Packaging In 2015 NXP (formerly

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Total Cost of Operations TCO

Total Cost of Operations TCO Total Cost of Operations TCO Agenda Introduction Objectives Elements of TCO ABC Costing TCO in a working Fab Fab Cost Product Margin Building TCO Model Summary Introduction Total Cost of Operations is

More information

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan 3D Package Technologies Review with Gap Analysis for Mobile Application Requirements Apr 22, 2014 STATS ChipPAC Japan T.Nishio Contents Package trends and roadmap update Advanced technology update Fine

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information