TSV Interposer Process Flow with IME 300mm Facilities

Size: px
Start display at page:

Download "TSV Interposer Process Flow with IME 300mm Facilities"

Transcription

1 TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012

2 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL, TSI with BEOL and IPD, TSI with RDL. 2. Process flows and examples for TSI wafer fabrication and TBDB for thin wafer handling. TSV formation BEOL RDL UBM and micro-bumping Thin wafer handling and back side TSV revealing Backside RDL and UBM 3. Summary 2

3 TSI with BEOL T[6]=1-2μm T[8]=1-2μm T[7]=1-2μm Cu/Ni/Au Al T[4]=1-2μm T[3]=1-2μm T[2]= 1-2μm T[1]=1-2μm T[5]=1-2μm 16μm>W>2μm S>2μm 16μm>W>2μm Si substrate 28-Aug-12 TSV ø10μm 10um (Cu) ~100μm Polyimide BS RDL Front side (FS): TSV + upto 4 BEOL layers + UBM Backside (BS): 1 layer RDL layer + C4 bump BS UBM 3

4 TSI with BEOL and IPDs T[6]=1-2μm T[8]=1-2μm T[7]=1-2μm Cu/Ni/Au Al T[5]=1-2μm T[4]=1-2μm T[3]=1-2μm T[2]= 1-2μm T[1]=1-2μm MIM capacitors Resistors 16μm>W>2μm TSV ø10μm Si substrate 28-Aug-12 10um (Cu) ~100μm Polyimide BS RDL Front side (FS): TSV + upto 4 BEOL layers + MIM capacitors + Resistors + UBM Backside (BS): 1 layer RDL layer + C4 bump BS UBM 4

5 TSI with RDL FS UBM 3 rd FS RDL T=5-7μm T=5-7μm T=3μm polyimide 2 nd FS RDL 1 st FS RDL Liner oxide H 100µm TSV ø10µm Cu B/S BS UBM Front side (FS): TSV + up to 3 layers RDL layers + UBM Backside (BS): 1 layer RDL layer + C4 bump 5

6 Process Flow for TSV Fabrication 1. Hard mask deposition 2. TSV patterning and etching 3. Liner oxide deposition 4. Barrier and seed layer deposition 5. Cu ECP and annealing 6. CMP Key challenges: 1. TSV etching: small scallop, uniformity, straight profile 2. Liner oxide: high step coverage 3. Barrier and seed layer: high step coverage 4. Cu ECP: void free, seam free, small over burden 5. CMP: small oxide loss, uniformity 6

7 TSV Formation Challenges Challenges Examples How to deal with? TSV etching 1. Uniformity control 2. Scallop control 3. Profile control Botch etching recipe optimization, gas flow, pressure, cycling time, RF power, etc. Liner oxide CVD 1. Step coverage 2. Scallop smoothing SACVD O3 TEOS Upto 50% step coverage Smooth the scallop. B/S layer PVD Step coverage Seed layer discontinuity PVD process optimization. Min 5% step coverage is Required. ECP 1. Void-free 2. Low overburden Void in TSV ECP recipe tuning. 7

8 Good Example: Through Si-Via Formation - Modules/Integration 3D-AFM Post-CMP Eval. TSV Etcher (Silvia); Unifire Straight profile (~90 o ) High cross wafer uniformity (<2%) Low scallop (<50nm) D/H ~5μm/50μm Clean (Akrion) + CVD Liner (InVia) Pre-Clean Optiimization High step-coverage (Side>50%; Bottom >20%) PVD B/S (Endura, Charger) Step-Coverage Gap-Fill Co-optimization Cu CMP (Reflexion) Good post-cmp control Proper removal rate Accurate end point detection Post-CMP Topography (AFM) <50nm Furnace Cu Anneal Well Optimized Temp. Profile Cu ECP (Raider) Void free Low overburden (~2.5mm) Low mounds (<3mm) X-ray Via Fill Evaluation 8

9 Process Flow for BEOL Fabrication 1. M1 dialectical layers Si 3 N 4 /SiO 2 deposition 2. M1 pattern, etch and clean 3. M1 seed layer PVD, Cu ECP and CMP 4. M2 dielectric deposition 5. Via 1 and M2 pattern, etching and clean 6. Via 1 and M2 seed layer PVD, Cu ECP and CMP 7. M3, M4, and Al pattern Key challenges: 1. Diametric etching: uniformity, high SiO2 etching selectivity to Si3N4 2. ECP: void free 3. CMP: small oxide loss, uniformity 9

10 Process Flow for RDL Fabrication PR PR 1. Seed layer deposition and PR patterning Polyimide 2. Cu plating PR 3. PRS and Seed layer etching 4. Polyimide passivation and patterning 5. Seed layer deposition and PR patenting 6. Cu ECP to form 2 nd RDL 7. Repeated RDL process to form RDL and passivation Key challenges: 1. RDL PR patterning: fine line/space smaller than 5μm/5μm 2. RDL ECP: Micro loading effect 3. Passivation opening lithography process: tapered via profile, via size uniformity 4. Seed layer etching: etching uniformity, small undercut 10

11 RDL Process Challenges Challenges Examples How to deal with? RDL PR patterning 1. Need fine line/space less than 5μm/5μm 2. Uniform and complete de-scum process Fine line PR patterning PR material improvement. Patterning optimization. ECP Micro-loading effect Plated thickness difference among different pattern densities. ECP recipe optimization Passivation opening 1. Need tapered via profile, via. 2. Size uniformity. 3. De-scum Profile that is not suitable for next RDL seed layer deposition. PVD process optimization. Min 5% step coverage is Required. Seed layer etching rate and uniformity control Seed layer etching caused undercut and line width shrinkage ECP recipe tuning. Design CD bias. 11

12 Example: RDL formation Modules / Integration PVD for Seed Layer Suss Track Spin-Coat & Soft Bake Ultratech Stepper PR Patterning Cu ECP (Semitool) Cu Electroplating PRS (Suss Track) PR lift off Seed Layer Patterning (GPTC Metal Spray Etcher) Wet-Etch Seed layer Line Width/Space ~ 5mm/5mm Line Width/Space ~ 3.5mm/2.5mm PR Mold Patterned On Ti/Cu Seed Layer (SL) ECP Cu RDL After SL Etch off 12

13 Process Flow for UBM / Landing Pads or Micro-bumping PR 1. Passivation opening 2. Seed layer deposition 3. PR patterning to define the UBM area PR Key challenges: 1. Fine pitch thick PR patterning 2. Seed layer etching: etching uniformity, small undercut 4. UBM plating (Cu/Ni/Au) 5. PR strip and seed layer etching 13

14 UBM and Micro-bumping Process Challenges Challenges Examples How to deal with? Thick PR patterning 1. Straight profile 2. Size control 3. Uniform and complete de-scum process Litho process optimization De-scum process control ECP loading effect 1. Better design rule to control the density uniformity. 2. ECP process tuning to improve plating thickness uniformity. 14

15 UBM, Micro-bumping and Micro-joining Modules / Integration Bottom Wafer Landing Pad (Raider ECD) Landing pads Stack: ~5µm-Cu / ~0.3µm-Au Pitch: X=50µm, Y=30µm Top Wafer Micro-bump Formation: (Bottom Plate & Patterning; Raider ECD Cu-Pillar / SnAg, Flux coater, Reflow Furnace, Clean) Micro-bumps Stack: 10µm-Cu / 10µm-SnAg Pitch: X=50µm, Y=30µm Au-Surface SnAg Cu-Base Flux Coat Reflow Clean Cu-Pillar CD~20mm Chip-to-Chip Bonding (TCB/FET300) Top-Chip Bottom-Chip 15

16 Thin Wafer Handling + Backside Revealing (BSR) Si-carrier Si-carrier Si-carrier 1. Temporary bonding 2. Device wafer thinning 3. Passivation Si-carrier Key challenges: 1. TTV control 2. Void free through-out the whole process 3. Chipping and cracking free during de-bonding 4. CMP 16

17 TBDB Approach Thin Wafer Handling and Challenges Challenges How to deal with? Result and remark TTV control Adhesive Carrier wafer 1. Coating optimization 2. Back grinding and CMP process optimization 1. <8μm for 100μm adhesive 2. <5μm for 50μm adhesive 3. <3μm for 30μm adhesive Edge cracking during BG Cracks 1. Edge trimming on device wafer before bonding 2. Bonding process optimization No edge cracking and chipping Void in adhesive 1. Dehydration bake and pre-bake for both device and carrier wafer 2. Bonding process optimization No void or delamination after bonding and RDL process De-bonding damage Edge chipping 1. EZR process optimization 2. De-bonding process optimization De-bonded successfully without edge cracking or chipping 17

18 Si-carrier Backside RDL and UBM Si-carrier Si-carrier 1. Backside TSV revealing 2. Backside RDL formation 3. Polyimide passivation and opening Si-carrier 4. Backside UBM formation 5. De-bonding 18

19 Reliable TSV integration process flow i-line TSV patterning TSV etching and cleaning Liner oxide deposition PVD barrier and seed layer deposition ECP process for TSV void-free filling CMP Target Deliverables BEOL and RDL process Thick Cu damascene process Fine line PR patterning process for Cu plating RDL passivation Thin wafer handling, backside RDL and micro-bumping Device wafer preparation Carrier wafer choice and preparation Temporary bonding optimization Wafer thinning down optimization to minimize device wafer TTV Si recess etching with high selectivity to SiO 2 CMP process to reveal TSV with minimized oxide loss PVD process which is compatible with temporary bonded wafers Curing 19

20 Thank You. Q & A 20

3DIC Integration with TSV Current Progress and Future Outlook

3DIC Integration with TSV Current Progress and Future Outlook 3DIC Integration with TSV Current Progress and Future Outlook Shan Gao, Dim-Lee Kwong Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) Singapore 9 September, 2010 1 Overview

More information

Hot Chips: Stacking Tutorial

Hot Chips: Stacking Tutorial Hot Chips: Stacking Tutorial Choon Lee Technology HQ, Amkor Enabling a Microelectronic World Mobile Phone Technology Change Feature Phone Smartphone Smartphones as a Percentage of All Phones Source : The

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Fraunhofer IZM Bump Bonding and Electronic Packaging

Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer Institute for Reliability and Microintegration (IZM) Gustav-Meyer-Allee 25 13355 Berlin Germany Dipl.-Ing. Thomas Fritzsch Contact: thomas.fritzsch@izm.fraunhofer.de

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

SEMI Networking Day 2013 Rudolph Corporate Introduction

SEMI Networking Day 2013 Rudolph Corporate Introduction SEMI Networking Day 2013 Rudolph Corporate Introduction Rudolph Technologies: Corporate Profile Business: Semiconductor capital equipment company dedicated exclusively to inspection, advanced packaging

More information

Equipment and Process Challenges for the Advanced Packaging Landscape

Equipment and Process Challenges for the Advanced Packaging Landscape Equipment and Process Challenges for the Advanced Packaging Landscape Veeco Precision Surface Processing Laura Mauer June 2018 1 Copyright 2018 Veeco Instruments Inc. Outline» Advanced Packaging Market

More information

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production David Butler, VP Product Management & Marketing SPTS Technologies Contents Industry Trends TSV

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities Vincent Mevellec, PhD Agenda Introduction MEMS and sensors market TSV integration schemes Process flows for TSV Metallization aveni

More information

TSV Formation: Drilling and Filling

TSV Formation: Drilling and Filling 3D Architectures for Semiconductor Integration and Packaging (3D ASIP), Burlingame, CA, Dec. 10-12, 2014 Preconference symposium- 3D Integration: 3D Process Technology TSV Formation: Drilling and Filling

More information

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL 2017 IEEE 67th Electronic Components and Technology Conference SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL YoungRae Kim 1, JaeHun Bae 1, MinHwa Chang 1, AhRa Jo 1,

More information

CERN/NA62 GigaTracKer Hybrid Module Manufacturing

CERN/NA62 GigaTracKer Hybrid Module Manufacturing CERN/NA62 GigaTracKer Hybrid Module Manufacturing Fraunhofer Institute for Reliability and Microintegration Gustav-Meyer-Allee 25 13355 Berlin Germany Dipl.-Ing. Thomas Fritzsch Contact: Fraunhofer IZM

More information

Next Gen Packaging & Integration Panel

Next Gen Packaging & Integration Panel Next Gen Packaging & Integration Panel ECTC 2012 Daniel Tracy, Sr. Director Industry Research & Statistics SEMI May 29, 2012 Packaging Supply Chain Market Trends Material Needs and Opportunities Market

More information

Enabling Materials Technology for Multi-Die Integration

Enabling Materials Technology for Multi-Die Integration Enabling Materials Technology for Multi-Die Integration Dr. Jeffrey M. Calvert Global R&D Director, Advanced Packaging Technologies Dow Electronic Materials 455 Forest St., Marlborough, MA 01752 USA jcalvert@dow.com

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C.

More information

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum

IME Technical Proposal. High Density FOWLP for Mobile Applications. 22 April High Density FOWLP Consortium Forum IME Technical Proposal High Density FOWLP for Mobile Applications 22 April 2014 Packaging driver for portable / mobile applications Key drivers/needs Smaller form-factor lower profile, substrate-less Higher

More information

Evaluation of Cu Pillar Chemistries

Evaluation of Cu Pillar Chemistries Presented at 2016 IMAPS Device Packaging Evaluation of Cu Pillar Chemistries imaps Device Packaging Conference Spring 2016 Matthew Thorseth, Mark Scalisi, Inho Lee, Sang-Min Park, Yil-Hak Lee, Jonathan

More information

3D technologies for integration of MEMS

3D technologies for integration of MEMS 3D technologies for integration of MEMS, Fraunhofer Institute for Electronic Nano Systems Folie 1 Outlook Introduction 3D Processes Process integration Characterization Sample Applications Conclusion Folie

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING

ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING ADVANCES IN ETCH AND DEPOSITION TECHNOLOGIES FOR 2.5 AND 3D BEOL PROCESSING Keith Buchanan, Dave Thomas, Hefin Griffiths, Kathrine Crook, Daniel Archard, Mark Carruthers, Steve Burgess, Stephen Vargo SPTS

More information

MEPTEC Semiconductor Packaging Technology Symposium

MEPTEC Semiconductor Packaging Technology Symposium MEPTEC Semiconductor Packaging Technology Symposium Advanced Packaging s Interconnect Technology Process Shift and Direction October 23, 2014 Jay Hayes- Director of Business Development -Bumping and Flip

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

200mm Next Generation MEMS Technology update. Florent Ducrot

200mm Next Generation MEMS Technology update. Florent Ducrot 200mm Next Generation MEMS Technology update Florent Ducrot The Most Exciting Industries on Earth Semiconductor Display Solar 20,000,000x reduction in COST PER TRANSISTOR in 30 years 1 20x reduction in

More information

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement Mat. Res. Soc. Symp. Proc. Vol. 766 2003 Materials Research Society E1.4.1 Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement C. Witt a,b,k.pfeifer a,c a International

More information

Overview of Dual Damascene Cu/Low-k Interconnect

Overview of Dual Damascene Cu/Low-k Interconnect ERC Retreat Stanford: New Chemistries & Tools for scco 2 Processing of Thin Films Overview of Dual Damascene Cu/Low-k Interconnect P. Josh Wolf 1,4 - Program Manager, Interconnect Div. josh.wolf@sematech.org

More information

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<< Method For Stripping Copper In Damascene Interconnects Damascene, or acid copper plating baths, have been in use since the mid 19th century on decorative items and machinery.1,2 The process generally uses

More information

HYPRES. Hypres MCM Process Design Rules 04/12/2016

HYPRES. Hypres MCM Process Design Rules 04/12/2016 HYPRES Hypres MCM Process Design Rules 04/12/2016 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES fabrication to: Daniel T. Yohannes Tel. (914) 592-1190

More information

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION NCCAVS Joint Users Group Technical Symposium San Jose, June 7 th, 2017 Markus Arendt, SÜSS MicroTec

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

ECE321 Electronics I

ECE321 Electronics I ECE321 Electronics I Lecture 19: CMOS Fabrication Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Tuesday 2:00-3:00PM or by appointment E-mail: payman@ece.unm.edu Slide: 1 Miller Effect Interconnect

More information

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Low Temperature Dielectric Deposition for Via-Reveal Passivation. EMPC 2013, September 9-12, Grenoble; France Low Temperature Dielectric Deposition for Via-Reveal Passivation. Kath Crook, Mark Carruthers, Daniel Archard, Steve Burgess, Keith Buchanan SPTS Technologies,

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

Tapered Walls Via Holes Manufactured Using DRIE Variable Isotropy Process

Tapered Walls Via Holes Manufactured Using DRIE Variable Isotropy Process Tapered Walls Via Holes Manufactured Using DRIE Variable Isotropy Process D. VASILACHE, S. RONCHIN, S. COLPO, B. MARGESIN, F. GIACOMOZZI, S. GENNARO FBK-irst, via Sommarive 18-38123 Trento, Italy; Tel.:

More information

Molding materials performances experimental study for the 3D interposer scheme

Molding materials performances experimental study for the 3D interposer scheme Minapad 2014, May 21 22th, Grenoble; France Molding materials performances experimental study for the 3D interposer scheme Y. Sinquin, A. Garnier, M. Argoud, A. Jouve, L. Baud, J. Dechamp, N. Allouti,

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima Novel Materials and Activities for Next Generation Package Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima 1. Activities of Packaging Solution Center 2. Novel Materials for Next Gen.

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

An Innovative High Throughput Thermal Compression Bonding Process

An Innovative High Throughput Thermal Compression Bonding Process An Innovative High Throughput Thermal Compression Bonding Process Li Ming 2 September 2015 Outline Introduction Throughput improved TCB Process Liquid Phase Contact (LPC) bonding Flux-LPC-TCB under inert

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN WAFER LEVEL SYSTEM INTEGRATION ELECTRONIC PACKAGING AT FRAUNHOFER IZM The Fraunhofer Institute

More information

EE 143 CMOS Process Flow

EE 143 CMOS Process Flow EE 143 CMOS rocess Flow CT 84 D D G Sub G Sub S S G D S G D S + + + + - MOS Substrate Well - MOS Substrate EE 143 CMOS rocess Flow CT 85 hotoresist Si 3 4 SiO 2 Substrate selection: moderately high resistivity,

More information

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN

FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN FRAUNHOFER INSTITUTE FOR RELIABILITY AND MICROINTEGRATION IZM DEPARTMENT WAFER LEVEL SYSTEM INTEGRATION BERLIN WAFER LEVEL SYSTEM INTEGRATION ELECTRONIC PACKAGING AT FRAUNHOFER IZM The Fraunhofer Institute

More information

ALD Film Characterization Rachel Brown 5/13/14

ALD Film Characterization Rachel Brown 5/13/14 ALD Film Characterization Rachel Brown 5/13/14 Objective The following set of tests was performed to determine the characteristics of films created by the Atomic Layer system and how they varied with the

More information

TGV and Integrated Electronics

TGV and Integrated Electronics TGV and Integrated Electronics Shin Takahashi ASAHI GLASS CO., LTD. 1 Ambient Intelligence Green Energy/Environment Smart Factory Smart Mobility Smart Mobile Devices Bio/Medical Security/Biometrics 2 Glass

More information

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes CSCI 4974 / 6974 Hardware Reverse Engineering Lecture 5: Fabrication processes QUIZ 3: CMOS layout Quiz Discussion Rationale If you know how something is put together, you can figure out how to take it

More information

Material based challenge and study of 2.1, 2.5 and 3D integration

Material based challenge and study of 2.1, 2.5 and 3D integration 1 Material based challenge and study of 2.1, 2.5 and 3D integration Toshihisa Nonaka Packaging Solution Center R&D Headquarters Hitachi Chemical Co., Ltd., Sep. 8, 2016 Hitachi Chemical Co., Ltd. 2010.

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s Metryx Copyright 1 Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s SEMATECH 3D Interconnect Workshop 11 th July 2012 Metryx Copyright 2 Mass Metrology Less Mass More

More information

Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series. Toray Industries, Inc.

Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series. Toray Industries, Inc. Low Temperature Curable Positive Tone Photosensitive Polyimide Photoneece LT series Toray Industries, Inc. 1 The features of LT series (1) Low temperature curable ( ~170 ) Less damage for weak semiconductor

More information

Thin Wafers Bonding & Processing

Thin Wafers Bonding & Processing Thin Wafers Bonding & Processing A market perspective 2012 Why New Handling Technologies Consumer electronics is today a big driver for smaller, higher performing & lower cost device configurations. These

More information

By Ron Blankenhorn, Pac Tech USA, Santa Clara, Calif., and Thomas Oppert, Pac Tech GbmH, Nauen, Germany

By Ron Blankenhorn, Pac Tech USA, Santa Clara, Calif., and Thomas Oppert, Pac Tech GbmH, Nauen, Germany INTRODUCTION Modern microelectronic products require packages that address the driving forces of reduced size and weight, as well as increased performance at high frequencies. Flipchip and direct chip

More information

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) 450mm Metrology and Inspection: The Current State and the Road Ahead Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel) Outline Program Update Demonstration Testing Method (DTM) Equipment

More information

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012

Challenges and Solutions for Cost Effective Next Generation Advanced Packaging. H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Challenges and Solutions for Cost Effective Next Generation Advanced Packaging H.P. Wirtz, Ph.D. MiNaPAD Conference, Grenoble April 2012 Outline Next Generation Package Requirements ewlb (Fan-Out Wafer

More information

Study on microprobe processing by LIGA on Si

Study on microprobe processing by LIGA on Si Study on microprobe processing by LIGA on Si Fundamental study for 3-D 3 D mold -Report 1-1 Teppei Kimura, JEM Japan (Japan Electronic Materials Corp.) Tadashi Hattori Himeji Institute of Technology in

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan 3D Package Technologies Review with Gap Analysis for Mobile Application Requirements Apr 22, 2014 STATS ChipPAC Japan T.Nishio Contents Package trends and roadmap update Advanced technology update Fine

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Surface Micromachining of Uncooled Infrared Imaging Array Using Anisotropic Conductive Film

Surface Micromachining of Uncooled Infrared Imaging Array Using Anisotropic Conductive Film Surface Micromachining of Uncooled Infrared Imaging Array Using Anisotropic Conductive Film Weiguo Liu, Lingling Sun, Weiguang Zhu, Ooi Kiang Tan Microelectronics Center, School of Electrical and Electronic

More information

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam PHYS 534 (Fall 2008) Process Integration Srikar Vengallatore, McGill University 1 OUTLINE Examples of PROCESS FLOW SEQUENCES >Semiconductor diode >Surface-Micromachined Beam Critical Issues in Process

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 7: BASICS OF THIN FILMS 2004 by LATTICE PRESS Chapter 7: Basics of Thin Films CHAPTER CONTENTS Terminology of Thin Films Methods of Thin-Film Formation Stages

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

MEMS Surface Fabrication

MEMS Surface Fabrication ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING MEMS Surface Fabrication Dr. Lynn Fuller webpage: http://people.rit.edu/lffeee Electrical and Microelectronic Engineering Rochester Institute

More information

Lam Research Corporation

Lam Research Corporation Lam Research Corporation 2012 Analyst & Investor Meeting 1 Safe Harbor Statement This presentation contains certain forward looking statements, including, our ability to execute our growth strategies,

More information

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications Authors: Jeb. H Flemming, Kevin Dunn, James Gouker, Carrie Schmidt, Roger Cook ABSTRACT Historically, while glasses have many

More information

How To Write A Flowchart

How To Write A Flowchart 1 Learning Objectives To learn how you transfer a device concept into a process flow to fabricate the device in the EKL labs You learn the different components that makes up a flowchart; process blocks,

More information

3D technologies for More Efficient Product Development

3D technologies for More Efficient Product Development 3D technologies for More Efficient Product Development H. Ribot, D. Bloch, S. Cheramy, Y. Lamy, P. Leduc, T. Signamarcheix, G. Simon Semicon Europa, TechArena II, 09 October 2013 Photonics in Product development:

More information

Frank Wei Disco Corporation Ota-ku, Tokyo, Japan

Frank Wei Disco Corporation Ota-ku, Tokyo, Japan Advances in panel scalable planarization and high throughput differential seed layer etching processes for multilayer RDL at 20 micron I/O pitch for 2.5D glass interposers Hao Lu, Fuhan Liu, Venky Sundaram,

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction 3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction Gilbert Lecarpentier*, Jean-Stéphane Mottet* SET S.A.S. (Smart Equipment Technology), 131 Impasse Barteudet, 74490

More information

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Seung Wook Yoon, Yaojian Lin and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 E-mail

More information

Innovative Substrate Technologies in the Era of IoTs

Innovative Substrate Technologies in the Era of IoTs Innovative Substrate Technologies in the Era of IoTs Dyi- Chung Hu 胡迪群 September 4, 2015 Unimicron Contents Introduction Substrate Technology - Evolution Substrate Technology - Revolution Glass substrate

More information

1 mw/[] Bond-Able Post-Passivation Interconnect for Power Management Technologies.

1 mw/[] Bond-Able Post-Passivation Interconnect for Power Management Technologies. 1 mw/[] Bond-Able Post-Passivation Interconnect for Power Management Technologies. Alexander Kalnitsky, Y.W. Tseng, T.H. Chien, C.Y. Chang, Felix Tsui 1 Outline Technology development Planarized passivation

More information

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications Ed Elce, Chris Apanius, Jeff Krotine, Jim Sperk, Andrew Bell, Rob Shick* Sue Bidstrup-Allen, Paul Kohl Takashi Hirano,

More information

Optical Profilometry of Substrate Bow Reduction Using Temporary Adhesives

Optical Profilometry of Substrate Bow Reduction Using Temporary Adhesives Optical Profilometry of Substrate Bow Reduction Using Temporary Adhesives a Paul Flynn and b John Moore a FRT of America, LLC 1101 South Winchester Blvd., Suite L-240, San Jose, CA 95128 408-261-2632,

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 11 Deposition Film Layers for an MSI Era NMOS Transistor Topside Nitride Pre-metal oxide Sidewall

More information

Via etching in BCB for HBT technology

Via etching in BCB for HBT technology Via etching in for HBT technology H.Stieglauer, T.Wiedenmann, H.Bretz, H.Mietz, D.Traulsen, D.Behammer United Monolithic Semiconductors GmbH, Wilhelm-Runge-Strasse 11, D-89081 Ulm, Germany Phone: +49-731-505-3075,

More information

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering WF637 A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering Low viscosity and high tacking power stabilize ball holding force and ensures excellent solder wettability Easy

More information

Low-Profile 3D Silicon-on-Silicon Multi-chip Assembly

Low-Profile 3D Silicon-on-Silicon Multi-chip Assembly Low-Profile 3D Silicon-on-Silicon Multi-chip Assembly P. Andry 1, B. Dang 1 and J. Knickerbocker 1 K. Tamura 2 and N. Taneichi 2 1 IBM T.J. Watson Research Center, 1101 Kitchawan Road, Yorktown Heights,

More information

Needham Growth Conference. January 2007 NYC

Needham Growth Conference. January 2007 NYC Needham Growth Conference January 2007 NYC 1 Safe Harbor Statement This presentation contains forward-looking statements. These forward-looking statements are just predictions subject to risks and uncertainties

More information

POLYIMIDE BASED TEMPORARY WAFER BONDING TECHNOLOGY FOR HIGH TEMPERATURE COMPLIANT TSV BACKSIDE PROCESSING AND THIN DEVICE HAND- LING

POLYIMIDE BASED TEMPORARY WAFER BONDING TECHNOLOGY FOR HIGH TEMPERATURE COMPLIANT TSV BACKSIDE PROCESSING AND THIN DEVICE HAND- LING POLYIMIDE BASED TEMPORARY WAFER BONDING TECHNOLOGY FOR HIGH TEMPERATURE COMPLIANT TSV BACKSIDE PROCESSING AND THIN DEVICE HAND- LING K. Zoschke, T. Fischer, M. Töpper, T. Fritzsch, H. Oppermann, T. Braun

More information

Journal of Advanced Mechanical Design, Systems, and Manufacturing

Journal of Advanced Mechanical Design, Systems, and Manufacturing Fabrication of the X-Ray Mask using the Silicon Dry Etching * Hiroshi TSUJII**, Kazuma SHIMADA**, Makoto TANAKA**, Wataru YASHIRO***, Daiji NODA** and Tadashi HATTORI** **Laboratory of Advanced Science

More information

Bare Die Assembly on Silicon Interposer at Room Temperature

Bare Die Assembly on Silicon Interposer at Room Temperature Minapad 2014, May 21 22th, Grenoble; France Bare Die Assembly on Silicon Interposer at Room Temperature W. Ben Naceur, F. Marion, F. Berger, A. Gueugnot, D. Henry CEA LETI, MINATEC 17, rue des Martyrs

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn

Atul Gupta, Eric Snyder, Christiane Gottschalk, Kevin Wenzel, James Gunn First Demonstration of Photoresist Cleaning for Fine-Line RDL Yield Enhancement by an Innovative Ozone Treatment Process for Panel Fan-out and Interposers Atul Gupta, Eric Snyder, Christiane Gottschalk,

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

iniaturization of medical devices thanks to flexible substrates ISO 9001 certified

iniaturization of medical devices thanks to flexible substrates ISO 9001 certified iniaturization of medical devices thanks to flexible substrates 04-12-2012 Hightec MC Presentation 2 Medical industry is clearly and urgently in need of the development of advanced interconnection solutions

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information