Lattice isplsi1032e CPLD

Size: px
Start display at page:

Download "Lattice isplsi1032e CPLD"

Transcription

1 Construction Analysis Lattice isplsi1032e CPLD Report Number: SCA Global Semiconductor Industry the Serving Since N. 75th Street Scottsdale, AZ Phone: Fax: Internet:

2 INDEX TO TEXT TITLE PAGE INTRODUCTION 1 MAJOR FINDINGS 1 TECHNOLOGY DESCRIPTION Assembly 2 Die Process and Design 2-3 ANALYSIS RESULTS I Assembly 4 ANALYSIS RESULTS II 5-7 ANALYSIS PROCEDURE 8 TABLES Overall Evaluation 9 Die Markings 10 Die Material Analysis 10 Horizontal Dimensions 11 Vertical Dimensions 12 - i -

3 INTRODUCTION This report describes a construction analysis of the new 6000 gate, 128 Macrocell Lattice ISPLSI1032E CPLD. One decapsulated device was received for the analysis. It was date coded MAJOR FINDINGS Questionable Items: 1 None. Special Features: Twin-well CMOS process in a P substrate (no epi). Sub-micron gate lengths (0.55 micron N- and 0.65 micron P-channel). Standard contacts and vias (no plugs). Single poly EEPROM cells using tunnel-oxide windows. 1 These items present possible quality or reliability concerns. They should be discussed with the manufacturer to determine their possible impact on the intended application

4 Assembly: TECHNOLOGY DESCRIPTION Device was packaged in a Plastic Leaded Chip Carrier (PLCC) for surface mount applications. The leadframe was constructed of copper and plated externally with tin-lead solder and internally with silver. Die separation was by sawing (full depth). Silver-filled epoxy was used to attach the die to the paddle. Die Process : Devices were fabricated using a selective oxidation, twin-well CMOS process in a P substrate. No epi was used. No die coat was present. Passivation consisted of a layer of nitride over a layer of glass. Metallization consisted of two layers of metal. Both metal layers consisted of aluminum with titanium-nitride caps and barriers. Standard contacts and vias were used at both levels (no plugs). Interlevel dielectric consisted of two layers of silicon-dioxide with a planarizing glass (probably SOG) layer in between. Pre-metal dielectric consisted of a layer of reflow glass (probably BPSG) over various densified oxides. The glass was reflowed prior to contact cuts only

5 TECHNOLOGY DESCRIPTION (continued) A single layer of polycide (tungsten silicide) was used to form all gates on the die. Direct poly-to-diffusion (buried) contacts were not used. Definition was by a dry etch of normal quality. Standard implanted N+ and P+ diffusions formed the sources/drains of the CMOS transistors. An LDD process was used with oxide sidewall spacers left in place. Local oxide (LOCOS) isolation. A step was present at the edge of the well indicating a twin-well process was used. Three different variations of EPROM cells were present on the die. Programming is achieved through an ultra-thin tunnel oxide window. Redundancy fuses were not present

6 ANALYSIS RESULTS I Package and Assembly: Figures 1-4 Questionable Items: 1 None. General Items: Devices were packaged in a Plastic Leaded Chip Carrier (PLCC) for surface mount applications. Overall package quality: Good. No significant defects were noted in the external or internal portions of the package. No cracks or voids were noted in the package. Leadframe: The leadframe was apparently constructed of copper (Cu) and plated externally with tin-lead (Sn-Pb) solder and internally plated with silver (Ag). No voids or cracks were noted at lead exits. Die dicing: Die separation was by sawing of normal quality. No large cracks or chips were present. A silver-filled epoxy was used to attach the die to the header. Wirebonding: Thermosonic ball bond method using gold wire. No problems are foreseen. 1 These items present possible quality or reliability concerns. They should be discussed with the manufacturer to determine their possible impact on the intended application

7 ANALYSIS RESULTS II Die Process: Figures 5-33 Questionable Items: 1 None. Special Features: Twin-well CMOS process in a P substrate (no epi). Sub-micron gate lengths (0.55 micron N- and 0.65 micron P-channel). Standard contacts and vias (no plugs). Three variations of EPROM cells on die. General Items: Fabrication process: Devices were fabricated using a selective oxidation, twin-well CMOS process in a P substrate. No epi was used. Process implementation: Die layout was clean and efficient. Alignment was good at all levels. No damage or contamination was found. Die coat: No die coat was present. Overlay passivation: A layer of nitride over a layer of glass. Overlay integrity test indicated defect-free passivation. Edge seal was good. 1 These items present possible quality or reliability concerns. They should be discussed with the manufacturer to determine their possible impact on the intended application

8 ANALYSIS RESULTS II (continued) Metallization: Two layers of metal. Both consisted of aluminum with titaniumnitride caps and barriers. Standard contacts and vias were used at all levels (no plugs were used). Metal patterning: Both metal layers were patterned by a dry etch of normal quality. Metal defects: No voiding, notching, or neckdown was noted in either of the metal layers. All contacts and vias were completely surrounded by metal. No silicon nodules were noted following the removal of either metal layer. Metal step coverage: Metal 2 aluminum thinning did not exceed 55 percent. Metal 1 aluminum thinning did not exceed 45 percent. The addition of the cap and barrier metals further reduced the thinning of both the metal layers. Interlevel dielectric consisted of two layers of silicon-dioxide with a planarizing glass (SOG) layer between. No problems were found in any of these layers. Pre-metal dielectric: A layer of reflow glass (probably BPSG) over various densified oxides was used under metal 1. Reflow was performed prior to contact cuts only. No problems were found. Contact defects: Via and contact cuts were defined by a two step process. No overetching of the contacts or vias was noted. A single layer of polycide (poly and tungsten silicide) was used to form all gates on the die. Direct poly-to-diffusion (buried) contacts were not used. Definition was by dry-etch of normal quality

9 ANALYSIS RESULTS II (continued) Standard implanted N+ and P+ diffusions formed the sources/drains of the CMOS transistors. An LDD process was used with oxide sidewall spacers left in place. No problems were found. Local oxide (LOCOS) isolation was used. The step present at the well boundary indicates a twin-well process was employed. Three variations of EPROM cells were present on the die. Programming is achieved through ultra-thin tunnel oxide windows. No problems were discovered. Redundancy fuses were not present on the die

10 PROCEDURE The devices were subjected to the following analysis procedures: External inspection Internal optical inspection SEM of passivation Passivation integrity test Passivation removal Delayer to metal 2 and inspect Metal 2 removal and inspect barrier Delayer to metal 1 and inspect Metal 1 removal and inspect barrier Delayer to silicon and inspect poly/die surface Die sectioning (90 for SEM) * Die material analysis Measure horizontal dimensions Measure vertical dimensions * Delineation of cross-sections is by silicon etch unless otherwise indicated

11 OVERALL QUALITY EVALUATION: Overall Rating: Good DETAIL OF EVALUATION Package integrity Package markings Die placement Wirebond placement Wire spacing Wirebond quality Die attach quality Dicing quality Die attach method Dicing method Wirebond method N N/A N N N N N N Silver-epoxy Sawn (full depth) Thermosonic ball bonds Die surface integrity: Toolmarks (absence) Particles (absence) Contamination (absence) Process defects (absence) General workmanship Passivation integrity Metal definition Metal integrity Metal registration Contact coverage Contact registration G G G G G G G N N G G G = Good, P = Poor, N = Normal, NP = Normal/Poor - 9 -

12 DIE MARKINGS LATTICE (LOGO) 1994 PLSI LOW DIE MATERIAL ANALYSIS Overlay passivation: A layer of nitride over a layer of glass. Metallization 2: Aluminum (Al) with a titanium-nitride (TiN) cap and barrier. Metallization 1: Aluminum (Al) with a titanium-nitride (TiN) cap and barrier. Polycide: Polysilicon with tungsten (W) silicide

13 HORIZONTAL DIMENSIONS Die size: 6.5 x 6.8 mm (258 x 269 mils) Die area: 44.2 mm 2 (69,402 mils 2 ) Min metal 2 width: Min metal 2 space: Min metal 2 pitch: Min via size: Min metal 1 width: Min metal 1 space: Min metal 1 pitch: Min contact size: Min poly width: Min poly space: Min contact to gate poly space: Min gate length * - (N-channel): 1.4 micron 1.2 micron 2.6 microns 1.1 micron 0.85 micron 1.3 micron 2.15 microns 1.2 micron 0.55 micron 1.1 micron 0.6 micron 0.55 micron - (P-channel): 0.65 micron Tunnel oxide window: 1.3 micron (dia.) * Physical gate length

14 VERTICAL DIMENSIONS Die thickness: 0.5 mm (19.5 mils) Layers: Passivation 2: 0.5 micron Passivation 1: 0.25 micron Metal 2 - cap: 0.08 micron (approximate) - aluminum: 0.85 micron - barrier: 0.15 micron Interlevel dielectric - glass 2: 0.15 micron - glass 1: micron Metal 1 - cap: 0.1 micron - aluminum: 0.75 micron - barrier: 0.2 micron Pre-metal dielectric: micron Oxide on poly: 0.12 micron Poly - silicide: 0.15 micron - poly: 0.15 micron Local oxide: 0.45 micron N+ S/D: 0.15 micron P + S/D: 0.2 micron N-well: 5.5 microns (approximate) P-well: Could not delineate

15 INDEX TO FIGURES DIE LAYOUT AND IDENTIFICATION Figures 1 and 2 PHYSICAL DIE STRUCTURES Figures 3-33 COLOR DRAWING OF DIE STRUCTURE Figure 22 EEPROM MEMORY CELLS Figures ii -

16 Figure 1. Whole die photograph of the Lattice isplsi1032e CPLD. Mag. 29x.

17 Mag. 400x Mag. 800x Figure 2. Markings from the die surface.

18 PAD WINDOW METAL 2 METAL 1 Mag. 3100x PAD WINDOW ETCHED METAL 2 PASSIVATION METAL 2 METAL 1 Mag. 13,000x Figure 3. SEM section views of the bond pad structure.

19 EDGE OF PASSIVATION EDGE OF DIE Mag. 6200x METAL 2 METAL 1 PASSIVATION EDGE Mag. 2500x METAL 1 Mag. 13,000x Figure 4. SEM section views of the edge seal.

20 PASSIVATION 2 PASSIVATION 1 METAL 2 SOG POLY GATE METAL 1 N+ S/D silicon etch, Mag. 13,000x PASSIVATION 2 PASSIVATION 1 METAL 2 METAL 1 LOCOS glass etch, Mag. 10,000x Figure 5. SEM section views of general device construction.

21 Mag. 3400x Mag. 13,500x Figure 6. Perspective SEM views illustrating passivation coverage. 60.

22 PASSIVATION 1 PASSIVATION 2 METAL 2 Mag. 13,000x PASSIVATION 2 PASSIVATION 1 TiN CAP 2 ALUMINUM 2 TiN BARRIER 2 Mag. 26,000x Figure 7. SEM section views of metal 2 line profiles.

23 Mag. 1600x METAL 2 VIA Mag. 3200x Figure 8. Topological SEM views of metal 2 patterning. 0.

24 Mag. 4000x TiN CAP 2 TiN BARRIER 2 ALUMINUM 2 Mag. 15,000x Figure 9. SEM views illustrating metal 2 coverage. 60.

25 TiN BARRIER Mag. 24,000x, 45 METAL 2 METAL 1 Mag. 26,000x Figure 10. SEM views of metal 2-to-metal 1 vias.

26 METAL 1 Mag. 26,000x GLASS 2 SOG INTERLEVEL DIELECTRIC TiN CAP 1 ALUMINUM 1 TiN BARRIER 1 GLASS 1 Mag. 40,000x Figure 11. SEM section views of metal 1 line profiles.

27 METAL 1 METAL 1 Figure 12. Topological SEM views of metal 1 patterning. Mag. 5000x, 0.

28 Mag. 5700x Mag. 23,000x TiN CAP 1 Mag. 23,000x ALUMINUM 1 TiN BARRIER 1 Figure 13. SEM views illustrating metal 1 coverage. 60.

29 TiN BARRIER metal 1-to-poly TiN BARRIER metal 1-to-diffusion Figure 14. SEM views of the metal 1 barrier following the removal of aluminum. Mag. 23,000x, 45.

30 METAL 1 metal 1-to-diffusion, glass etch METAL 1 POLY metal 1-to-poly, silicon etch Figure 15. SEM section views of typical metal 1 contacts. Mag. 26,000x.

31 METAL 1 N+ S/D metal 1-to-N+ METAL 1 P+ metal 1-to-P+ Figure 16. SEM section views of typical metal 1 contacts. Mag. 26,000x.

32 Mag. 2500x N+ P+ POLY Mag. 5000x Figure 17. Topological SEM views of poly patterning. 0.

33 Mag. 4200x LOCOS POLY Mag. 26,000x DIFFUSION Mag. 52,000x POLY LOCOS DIFFUSION Figure 18. SEM views illustrating poly coverage. 60.

34 W SILICIDE N-channel POLY N+ S/D N+ S/D GATE OXIDE W SILICIDE (ETCHED BACK) POLY P-channel P+ S/D P+ S/D GATE OXIDE SIDEWALL SPACER glass etch W SILICIDE POLY Figure 19. SEM section views of typical transistors. Mag. 52,000x.

35 LOCOS POLY GATE OXIDE Figure 20. SEM section view of a local oxide birdsbeak. Mag. 40,000x. LOCOS Mag. 26,000x STEP Mag. 800x N-WELL P SUBSTRATE Figure 21. Section views of the well structure.

36 INTERLEVEL DIELECTRIC SOG TiN CAP 2 TiN CAP 1 TiN BARRIER 2 W SILICIDE Lattice isplsi1032e NITRIDE PASSIVATION GLASS PASSIVATION,,,,,, ALUMINUM 2,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, PRE-METAL DIELECTRIC GATE OXIDE ALUMINUM 1 TiN BARRIER 1 P-WELL LOCAL OXIDE P SUBSTRATE N-WELL Orange = Nitride, Blue = Metal, Yellow = Oxide, Green = Poly, Red = Diffusion, and Gray = Substrate Figure 22. Color cross section drawing illustrating device structure. POLY

37 metal 2 metal 1 WORD TUNNEL OXIDE DEVICE unlayered C Figure 23. Perspective SEM views of an EEPROM cell layout. Mag. 2300x, 60.

38 A B metal 2 GND A B C BIT metal 1 Figure 24. Topological SEM views of an EEPROM cell layout. Mag. 1600x, 0.

39 WORD C 3 3 BIT 1 2 B unlayered WORD BIT 1 A 3 2 C C B Figure 25. Topological SEM view and schematic of an EEPROM cell. Mag. 1600x, 0.

40 Mag. 3200x WORD TUNNEL OXIDE DEVICE C Mag. 3100x Figure 26. Perspective SEM views of an EEPROM cell (unlayered). 60.

41 B C GND A BIT metal 1 WORD 4 BIT 1 2 A unlayered to poly WORD B BIT 1 4, C B C Figure 27. SEM views and schematic of an EEPROM cell. Mag. 3200x, 0.

42 ENABLE BIT metal 2 GND WORD metal 1 Figure 28. Perspective SEM views of an EEPROM cell. Mag. 3400x, 60.

43 TUNNEL OXIDE DEVICE C WORD Mag. 3400x TUNNEL OXIDE REGION POLY Mag. 27,000x Figure 29. Perspective SEM views of an EEPROM cell (unlayered). 60.

44 ENABLE BIT metal 2 GND WORD metal 1 Figure 30. SEM views of an EEPROM cell. Mag. 3200x, 0.

45 TUNNEL OXIDE DEVICE ENABLE 2 C WORD 1 BIT GND unlayered to poly WORD BIT 1 2 C ENABLE Figure 31. SEM view and schematic of an EEPROM cell. Mag. 3200x, 0.

46 METAL 2 METAL 1 Mag. 13,000x POLY GATE TUNNEL OXIDE DEVICE W SILICIDE Mag. 52,000x POLY N+ S/D N+ S/D GATE OXIDE METAL 1 Mag. 52,000x W SILICIDE POLY TUNNEL OXIDE GATE OXIDE Figure 32. SEM section views of an EEPROM cell structure (silicon etch).

47 METAL 2 SOG METAL 1 TUNNEL OXIDE DEVICE POLYCIDE Mag. 13,000x METAL 1 POLYCIDE TUNNEL OXIDE GATE OXIDE Mag. 52,000x Figure 33. SEM section views of an EEPROM cell structure (glass etch).

Rockwell R RF to IF Down Converter

Rockwell R RF to IF Down Converter Construction Analysis Rockwell R6732-13 RF to IF Down Converter Report Number: SCA 9709-552 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780

More information

Dallas Semicoductor DS80C320 Microcontroller

Dallas Semicoductor DS80C320 Microcontroller Construction Analysis Dallas Semicoductor DS80C320 Microcontroller Report Number: SCA 9702-525 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone:

More information

SGS-Thomson M17C1001 1Mb UVEPROM

SGS-Thomson M17C1001 1Mb UVEPROM Construction Analysis SGS-Thomson M17C1001 1Mb UVEPROM Report Number: SCA 9612-518 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780

More information

Intel Pentium Processor W/MMX

Intel Pentium Processor W/MMX Construction Analysis Intel Pentium Processor W/MMX Report Number: SCA 9706-540 Global Semiconductor Industry the Serving Since 1964 15022 N. 75th Street Scottsdale, AZ 85260-2476 Phone: 602-998-9780 Fax:

More information

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM Construction Analysis Hitachi 5165805A 64Mbit (8Mb x 8) Dynamic RAM Report Number: SCA 9712-565 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone:

More information

Motorola PC603R Microprocessor

Motorola PC603R Microprocessor Construction Analysis Motorola PC603R Microprocessor Report Number: SCA 9709-551 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale, AZ 85255 Phone: 602-515-9780 Fax:

More information

National Semiconductor LM2672 Simple Switcher Voltage Regulator

National Semiconductor LM2672 Simple Switcher Voltage Regulator Construction Analysis National Semiconductor LM2672 Simple Switcher Voltage Regulator Report Number: SCA 9712-570 Global Semiconductor Industry the Serving Since 1964 17350 N. Hartford Drive Scottsdale,

More information

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1 LECTURE 030 INTEGRATED CIRCUIT TECHNOLOGY - I (References [7,8]) Objective The objective of this presentation is: 1.) Illustrate integrated

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

CMOS FABRICATION. n WELL PROCESS

CMOS FABRICATION. n WELL PROCESS CMOS FABRICATION n WELL PROCESS Step 1: Si Substrate Start with p- type substrate p substrate Step 2: Oxidation Exposing to high-purity oxygen and hydrogen at approx. 1000 o C in oxidation furnace SiO

More information

Cost of Integrated Circuits

Cost of Integrated Circuits Cost of IC Design 1 Cost of Integrated Circuits NRE (Non-Recurrent Engineering) costs fixed design time and effort, mask generation independent of sales volume / number of products one-time cost factor

More information

10 Manor Parkway, Suite C Salem, New Hampshire

10 Manor Parkway, Suite C Salem, New Hampshire Micro-Precision Technologies (MPT) is an independent manufacturer of hybrid integrated circuits, multichip modules, and high-precision thick film substrates for the military, medical, avionics, optoelectronics,

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras Lecture - 33 Problems in LOCOS + Trench Isolation and Selective Epitaxy So, we are discussing

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

Isolation Technology. Dr. Lynn Fuller

Isolation Technology. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Isolation Technology Dr. Lynn Fuller Motorola Professor 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process. CMOS Manufacturing process Circuit design Set of optical masks Fabrication process Circuit designer Design rule set Process engineer All material: Chap. 2 of J. Rabaey, A. Chandrakasan, B. Nikolic, Digital

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology von A bis Z Metallization www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Metallization 1 1.1 Requirements on metallization........................

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Manufacturer Part Number. Module 2: CMOS FEOL Analysis Manufacturer Part Number description Module 2: CMOS FEOL Analysis Manufacturer Device # 2 Some of the information is this report may be covered by patents, mask and/or copyright protection. This report

More information

Failure Modes in Wire bonded and Flip Chip Packages

Failure Modes in Wire bonded and Flip Chip Packages Failure Modes in Wire bonded and Flip Chip Packages Mumtaz Y. Bora Peregrine Semiconductor San Diego, Ca. 92121 mbora@psemi.com Abstract The growth of portable and wireless products is driving the miniaturization

More information

VLSI Systems and Computer Architecture Lab

VLSI Systems and Computer Architecture Lab ΚΥΚΛΩΜΑΤΑ VLSI Πανεπιστήμιο Ιωαννίνων CMOS Technology Τμήμα Μηχανικών Η/Υ και Πληροφορικής 1 From the book: An Introduction ti to VLSI Process By: W. Maly ΚΥΚΛΩΜΑΤΑ VLSI Διάρθρωση 1. N well CMOS 2. Active

More information

Innovative MID Plating Solutions

Innovative MID Plating Solutions Innovative MID Plating Solutions High Reliability Wire Bond Technique for MIDs Jordan Kologe MacDermid Electronics Solutions jkologe@macdermid.com 1 MacDermid: Specialty Chemical Solutions Over 2000 Worldwide

More information

Chips Face-up Panelization Approach For Fan-out Packaging

Chips Face-up Panelization Approach For Fan-out Packaging Chips Face-up Panelization Approach For Fan-out Packaging Oct. 15, 2015 B. Rogers, D. Sanchez, C. Bishop, C. Sandstrom, C. Scanlan, TOlson T. REV A Background on FOWLP Fan-Out Wafer Level Packaging o Chips

More information

Customizing Processes for Hermetic Assembly Of Devices Designed for Plastic Packages (1 of 3)

Customizing Processes for Hermetic Assembly Of Devices Designed for Plastic Packages (1 of 3) Customizing Processes for Hermetic Assembly Of Devices Designed for Plastic Packages (1 of 3) Charlie C. Megia Golden Altos Corporation 402 South Hillview Drive, Milpitas, CA 95035 cmegia@goldenaltos.com

More information

Packaging Technologies for SiC Power Modules

Packaging Technologies for SiC Power Modules Packaging Technologies for SiC Power Modules Masafumi Horio Yuji Iizuka Yoshinari Ikeda ABSTRACT Wide bandgap materials such as silicon carbide (SiC) and gallium nitride (GaN) are attracting attention

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

2006 UPDATE METROLOGY

2006 UPDATE METROLOGY INTERNATIONAL TECHNOLOGY ROADMAP FOR SEMICONDUCTORS METROLOGY THE ITRS DEVED AND INTENDED FOR TECHNOLOGY ASSESSMENT ONLY AND WITHOUT REGARD TO ANY COMMERCIAL CONSIDERATIONS PERTAINING TO INDIVIDUAL PRODUCTS

More information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes Andrew Strandjord, Thorsten Teutsch, and Jing Li Pac Tech USA Packaging Technologies, Inc. Santa Clara, CA USA 95050 Thomas Oppert, and

More information

Qualification Report. June, 1994, QTP# Version 1.1 CY7C46X/47X, MINNESOTA FAB MARKETING PART NUMBER DEVICE DESCRIPTION. Cascadable 32K x 9 FIFO

Qualification Report. June, 1994, QTP# Version 1.1 CY7C46X/47X, MINNESOTA FAB MARKETING PART NUMBER DEVICE DESCRIPTION. Cascadable 32K x 9 FIFO Qualification Report June, 1994, QTP# 92361 Version 1.1 CY7C46X/47X, MINNESOTA FAB MARKETING PART NUMBER CY7C460 CY7C462 CY7C464 CY7C470 CY7C472 CY7C474 DEVICE DESCRIPTION Cascadable 8K x 9 FIFO Cascadable

More information

Quality and Reliability Report

Quality and Reliability Report Quality and Reliability Report Product Qualification MASW-007921 2mm 8-Lead Plastic Package QTR-0148 M/A-COM Technology Solutions Inc. 100 Chelmsford Street Lowell, MA 01851 Tel: (978) 656-2500 Fax: (978)

More information

surface. As heat and ultrasonic energy soften the wire and pad metallization the bonding tool deforms the bonding wire against the bond pad and forms

surface. As heat and ultrasonic energy soften the wire and pad metallization the bonding tool deforms the bonding wire against the bond pad and forms EVALUATION OF WIRE BONDING PERFORMANCE, PROCESS CONDITIONS, AND METALLURGICAL INTEGRITY OF CHIP ON BOARD WIRE BONDS Daniel T. Rooney, Ph.D., DeePak Nager, David Geiger, and Dongkai Shanguan, Ph.D. Flextronics

More information

Quality and Reliability Report

Quality and Reliability Report Quality and Reliability Report Product Qualification MAAM-008819 2mm 8-Lead PDFN Plastic Package QTR-0147 M/A-COM Technology Solutions Inc. 100 Chelmsford Street Lowell, MA 01851 Tel: (978) 656-2500 Fax:

More information

PCB Technologies for LED Applications Application note

PCB Technologies for LED Applications Application note PCB Technologies for LED Applications Application note Abstract This application note provides a general survey of the various available Printed Circuit Board (PCB) technologies for use in LED applications.

More information

Wafer-level 3D integration technology

Wafer-level 3D integration technology Wafer-level 3D integration technology An overview of wafer-level three-dimensional (3D) integration technology is provided. The basic reasoning for pursuing 3D integration is presented, followed by a description

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

2.5D and 3D Semiconductor Package Technology: Evolution and Innovation

2.5D and 3D Semiconductor Package Technology: Evolution and Innovation 2.5D and 3D Semiconductor Package Technology: Evolution and Innovation Vern Solberg Solberg Technical Consulting Saratoga, California USA Abstract The electronics industry is experiencing a renaissance

More information

Newsletter. Test Services & Failure Analysis Laboratory. April The Reality of Flip-Chip Solder Bump Electromigration Failure INSIDE THIS ISSUE

Newsletter. Test Services & Failure Analysis Laboratory. April The Reality of Flip-Chip Solder Bump Electromigration Failure INSIDE THIS ISSUE Test Services & Failure Analysis Laboratory April 2008 Newsletter INSIDE THIS ISSUE Features Solder Bump Electromigration Failure Solder Joint Failure Criteria External Inspection of PCBs Hollow Fibers

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

Qualification Report CASCADEABLE FIFOS. November 1995, QTP# Version 1.2 DEVICE DESCRIPTION MARKETING PART NUMBER. 1K x 9 Cascadeable FIFO

Qualification Report CASCADEABLE FIFOS. November 1995, QTP# Version 1.2 DEVICE DESCRIPTION MARKETING PART NUMBER. 1K x 9 Cascadeable FIFO Qualification Report November 1995, QTP# 94468 Version 1.2 CASCADEABLE FIFOS MARKETING PART NUMBER CY7C419 CY7C420/CY7C421 CY7C424/CY7C425 DEVICE DESCRIPTION 256 x 9 Cascadeable FIFO 512 x 9 Cascadeable

More information

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION

IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION IMPACT OF MICROVIA-IN-PAD DESIGN ON VOID FORMATION Frank Grano, Felix Bruno Huntsville, AL Dana Korf, Eamon O Keeffe San Jose, CA Cheryl Kelley Salem, NH Joint Paper by Sanmina-SCI Corporation EMS, GTS

More information

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation

Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes. Jason Chou and Sze Pei Lim Indium Corporation Advanced Analytical Techniques for Semiconductor Assembly Materials and Processes Jason Chou and Sze Pei Lim Indium Corporation Agenda Company introduction Semiconductor assembly roadmap challenges Fine

More information

PROCESSING OF INTEGRATED CIRCUITS

PROCESSING OF INTEGRATED CIRCUITS PROCESSING OF INTEGRATED CIRCUITS Overview of IC Processing (Part I) Silicon Processing Lithography Layer Processes Use in IC Fabrication (Part II) Integrating the Fabrication Steps IC Packaging (Part

More information

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction PerformanceProfile Replacing Hydroxyl-amine-based Chemistries with Semi-aqueous-based Cleaning Formulations Generates Improved Yields in Post-etch Metal and Oxide Residue Removal by: Mustapha, Nik Senior

More information

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015)

curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) curamik CERAMIC SUBSTRATES AMB technology Design Rules Version #04 (09/2015) Content 1. Geometric properties 1.01. Available ceramic types / thicknesses... 03 1.02. thicknesses (standard)... 03 3. Quality

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

Nano- And Micro-Filled Conducting Adhesives For Z-axis Interconnects

Nano- And Micro-Filled Conducting Adhesives For Z-axis Interconnects Nano- And Micro-Filled Conducting Adhesives For Z-axis Interconnects We take a look at micro-filled epoxy-based conducting adhesives modified with nanoparticles for z- axis interconnections, especially

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005 1) This is an open book, take-home quiz. You are not to consult with other class members or anyone else. You may discuss the

More information

Design for Flip-Chip and Chip-Size Package Technology

Design for Flip-Chip and Chip-Size Package Technology Design for Flip-Chip and Chip-Size Package Technology Vern Solberg Solberg Technology Consulting Madison, Wisconsin Abstract As new generations of electronic products emerge they often surpass the capability

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Thermal Management Catalog

Thermal Management Catalog Management Catalog P-THERM Interface Materials Polymer Science, Inc. offers a complete thermal management product line. Our P-THERM Interface Materials are designed to efficiently and effectively aid in

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material on any substrate (in principal) Start with pumping down

More information

PEC (Printed Electronic Circuit) process for LED interconnection

PEC (Printed Electronic Circuit) process for LED interconnection PEC (Printed Electronic Circuit) process for LED interconnection Higher wattage LED s/ power components or their placement in higher densities, requires a larger dissipation of heat in a more effective

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

Quarterly Reliability Report

Quarterly Reliability Report Quarterly Reliability Report Q1 216 Data by Process Technology Publication Number Reliabilty_Report_Q1216 Revision 1 Issue Date April 15, 216 Table of Contents 1. Introduction... 3 2. QMP Tests and Test

More information

Flexible Printed Circuits Design Guide

Flexible Printed Circuits Design Guide www.tech-etch.com/flex Flexible Printed Circuits Design Guide Multilayer SMT Assembly Selective Plating of Gold & Tin-Lead Fine Line Microvias Cantilevered & Windowed Leads 1 MATERIALS CONDUCTOR Copper

More information

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS John Yasaitis a, Michael Judy a, Tim Brosnihan a, Peter Garone a, Nikolay Pokrovskiy a, Debbie Sniderman a,scottlimb

More information

Packaging Commercial CMOS Chips for Lab on a Chip Integration

Packaging Commercial CMOS Chips for Lab on a Chip Integration Supporting Information for Packaging Commercial CMOS Chips for Lab on a Chip Integration by Timir Datta-Chaudhuri, Pamela Abshire, and Elisabeth Smela Biocompatibility Although the supplier s instructions

More information

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate Development of Sidewalls Passivating Films Sidewalls get inert species deposited on them with plasma etch Creates

More information

Hot Chips: Stacking Tutorial

Hot Chips: Stacking Tutorial Hot Chips: Stacking Tutorial Choon Lee Technology HQ, Amkor Enabling a Microelectronic World Mobile Phone Technology Change Feature Phone Smartphone Smartphones as a Percentage of All Phones Source : The

More information

Thermal Management Catalog

Thermal Management Catalog Management Catalog P-THERM Interface Materials Polymer Science, Inc. offers a complete thermal management product line. Our P-THERM Interface Materials are designed to efficiently and effectively aid in

More information

LED Die Attach Selection Considerations

LED Die Attach Selection Considerations LED Die Attach Selection Considerations Gyan Dutt & Ravi Bhatkal Alpha, An Alent plc Company Abstract Die attach material plays a key role in performance and reliability of mid, high and super-high power

More information

Dicing Glass Optical Devices

Dicing Glass Optical Devices Glass Applications Dicing Glass Optical Devices -Blade Characteristics 2,, 4 4 Resin Type Q Diamond grit size: 15-45 mic. Thickness: 0.006-0.012 0.012 (0.15mm 0.3mm) Metal Sintered E08 & New matrices 8-258

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

PDF created with FinePrint pdffactory Pro trial version

PDF created with FinePrint pdffactory Pro trial version Benefits Damage-free dicing of thin silicon Capable of producing smallest street widths which in return provides additional space for more parts per wafer Single step process results in reduction of cost

More information

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip

Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip Ultralow Residue Semiconductor Grade Fluxes for Copper Pillar Flip-Chip SzePei Lim (Presenter), Jason Chou, Maria Durham, and Dr. Andy Mackie Indium Corporation 1 Outline of Presentation Roadmaps and challenges

More information

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID:

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID: STEP 0.00 - PHOTOMASK #0- ZERO LEVEL MARKS Starting materials is n-type silicon (5-10 ohm-cm). Add four test wafers labeled T1-T4. T1 and T2 will travel with the device wafers and get all of the processing

More information

SLID bonding for thermal interfaces. Thermal performance. Technology for a better society

SLID bonding for thermal interfaces. Thermal performance. Technology for a better society SLID bonding for thermal interfaces Thermal performance Outline Background and motivation The HTPEP project Solid-Liquid Inter-Diffusion (SLID) Au-Sn SLID Cu-Sn SLID Reliability and bond integrity Alternative

More information

3D-WLCSP Package Technology: Processing and Reliability Characterization

3D-WLCSP Package Technology: Processing and Reliability Characterization 3D-WLCSP Package Technology: Processing and Reliability Characterization, Paul N. Houston, Brian Lewis, Fei Xie, Ph.D., Zhaozhi Li, Ph.D.* ENGENT Inc. * Auburn University ENGENT, Inc. 2012 1 Outline Packaging

More information

BAR STRAIN GAGE DATA SEMICONDUCTOR BAR STRAIN GAGES DATA SHEET

BAR STRAIN GAGE DATA SEMICONDUCTOR BAR STRAIN GAGES DATA SHEET SEMICONDUCTOR BAR STRAIN GAGES DATA SHEET BAR STRAIN GAGE DATA BAR GAGE SCHEMATIC See Table for X, Y & Z dimensions X=Overall Length Y= Active Area Z= Width BAR SEMICONDUCTOR STRAIN GAGES Part Number Lead

More information

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper

High Efficiency UV LEDs Enabled by Next Generation Substrates. Whitepaper High Efficiency UV LEDs Enabled by Next Generation Substrates Whitepaper Introduction A primary industrial market for high power ultra-violet (UV) LED modules is curing equipment used for drying paints,

More information

Qualification and Performance Specification for Flexible Printed Boards

Qualification and Performance Specification for Flexible Printed Boards Qualification and Performance Specification for Flexible Printed Boards Developed by the Flexible Circuits Performance Specifications Subcommittee (D-12) of the Flexible Circuits Committee (D-10) of IPC

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

TECHNICAL DATA SHEET 1 P a g e Revised January 9, 2014

TECHNICAL DATA SHEET 1 P a g e Revised January 9, 2014 1 P age Revised January 9, 2014 TAIYO PSR-4000 CC01SE (UL Name: PSR-4000JV / CA-40JV) LIQUID PHOTOIMAGEABLE CURTAIN COAT SOLDER MASK Curtain Coat Application Aqueous Developing Solder Mask RoHS Compliant

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

Enabling Technology in Thin Wafer Dicing

Enabling Technology in Thin Wafer Dicing Enabling Technology in Thin Wafer Dicing Jeroen van Borkulo, Rogier Evertsen, Rene Hendriks, ALSI, platinawerf 2G, 6641TL Beuningen Netherlands Abstract Driven by IC packaging and performance requirements,

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

TMS320C6000 BGA Manufacturing Considerations

TMS320C6000 BGA Manufacturing Considerations TMS320C6000 BGA Manufacturing Considerations David Bell C6000 Applications Team Abstract When designing with a high-density BGA package, it is important to be aware of different techniques that aid in

More information

Selection and Application of Board Level Underfill Materials

Selection and Application of Board Level Underfill Materials Selection and Application of Board Level Underfill Materials Developed by the Underfill Materials Design, Selection and Process Task Group (5-24f) of the Assembly and Joining Committee (5-20) of IPC Supersedes:

More information

THE MANUFACTURING PROCESS

THE MANUFACTURING PROCESS chapter2.fm Page 33 Monday, September 4, 2000 11:11 AM CHAPTER 2 THE MANUFACTURING PROCESS Overview of manufacturing process n Design rules n IC packaging n Future Trends in Integrated Circuit Technology

More information

The Morphology Evolution and Voiding of Solder Joints on QFN Central Pads with a Ni/Au Finish

The Morphology Evolution and Voiding of Solder Joints on QFN Central Pads with a Ni/Au Finish The Morphology Evolution and Voiding of Solder Joints on QFN Central Pads with a Ni/Au Finish Julie Silk 1, Jianbiao Pan 2, Mike Powers 1 1 Agilent Technologies, 1400 Fountaingrove Parkway, Santa Rosa,

More information

Opti - Cap March 2011 P42BN820MA3152

Opti - Cap March 2011 P42BN820MA3152 Opti - Cap March 2011 P42BN820MA3152 MAXIMUM ENVELOPE (SEE TABLE) Multilayer Capacitor.040 ±.002 Milli Cap.005 ±.001.038 ±.004 Termination Metallization: 7.5 ± 4.5 microinches Au over a minimum of 50 microinches

More information

Radiation Tolerant Isolation Technology

Radiation Tolerant Isolation Technology Radiation Tolerant Isolation Technology Background The following contains a brief description of isolation technologies used for radiation hardened integrated circuits. The technologies mentioned are junction

More information

Materials Declaration Form

Materials Declaration Form Materials Declaration Form IPC 1752 Version 2 Form Type * Distribute Sectionals * Material Info Subsectionals * A-D Manufacturing Info * : Required Field Supplier Information Company Name * STMicroelectronics

More information

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

Rapid Thermal Processing (RTP) Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Rapid Thermal Processing (RTP) Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

Critical Barriers Associated with Copper Bonding Wire. William (Bud) Crockett Jr.

Critical Barriers Associated with Copper Bonding Wire. William (Bud) Crockett Jr. Critical Barriers Associated with Copper Bonding Wire William (Bud) Crockett Jr. w-crockett@ml.tanaka.co.jp May 2015 1980s, wire bonding was supposed to be replaced by tape automated bonding (TAB) In Global

More information

THIN IMMERSION TIN USING ORGANIC METALS

THIN IMMERSION TIN USING ORGANIC METALS THIN IMMERSION TIN USING ORGANIC METALS Jim Kenny, Nils Arendt, Bernhard Wessling, and Karl Wengenroth Enthone Inc., A Business of Cookson Electronics West Haven, CT, USA ABSTRACT With the international

More information

Embedding Passive and Active Components: PCB Design and Fabrication Process Variations

Embedding Passive and Active Components: PCB Design and Fabrication Process Variations Embedding Passive and Active Components: PCB Design and Fabrication Process Variations Vern Solberg Solberg Technical Consulting Saratoga, California USA Abstract Embedding components within the PC board

More information