Study of cobalt etching speed controling by ph and oxidizer concentraion

Size: px
Start display at page:

Download "Study of cobalt etching speed controling by ph and oxidizer concentraion"

Transcription

1 Study of cobalt etching speed controling by ph and oxidizer concentraion Kurita Water Industries Ltd. Yuichi Ogawa, Nobuko Gan, Toru Masaoka, Minami Yoshimura, Hideaki Iino imec vzw Quoc Toan Le, Els Kesters, Frank Holsteyns SCREEN Semiconductor Solutions., Ltd. Yuya Akanishi, Akihisa Iwasaki

2 Agenda 1. Introduction 2. upon test 3. Surface analysis 4. Mechanism mm wafer test 6. Summary 2

3 Introduction Process Innovation Scaling down Fin STI (shallow trench isolation) Gate Spacer S/D (source/drain) EPI ILD0 (inter-layer dielectric) RMG (replacement metal gate) MOL (middle-of-line) BEOL (back-end-of-line) New processes and structures are used in device. New materials are used in devices. Some materials are corroded in water. It is also necessary to develop the methods of cleaning new materials and new structures. 3

4 Introduction The transition of line width and material Trend in8 in7 in5 in5+ in3 PP/MP/FP 56/40/30 42/32/24 42/21/21 42/21/ /16-21/16-21 Channel n/p Device architecture Si/Si FF Si/Si FF Si/Si or SiGe FF Si/Si or SiGe FF or GAA Si/Si or SiGe GAA or CFET BEOL Lines (Fill/Liner/Barrier) Via Mx:Cu/TaN Vx:Cu Mint:/TiN Vint: Mx:Cu/TaN Vx:Cu, Mint:/TiN Vint: Mx:Ru, Cu/MnRu Vx: Mint:/TiN, Ru Vint:, Ru Mx:Ru, Cu/MnRu Vx:, Ru Mint:/TiN, Ru Vint:, Ru Mx:Ru, Cu/MnRu, Ru Vx:, Ru Mint:/TiN, Ru Ref,:imec PR ML PR ML MG HM ILD BL BL Litho Etch Post etch clean Litho Etch will replace W or Cu in MOL and BEOL. Post etch clean We have studied the new rinsing method for avoiding dissolution. 4

5 Agenda 1. Introduction 2. upon test 3. Surface analysis 4. Mechanism mm wafer test 6. Summary 5

6 upon test 1 (ph) Experimental Procedure <Purpose>To study the behavior of dissolution concerning ph. wafer coupon Rinsing Analysis of conc. in the solution N 2 gas Dipping coupons in various solution Analysis by ICP-MS () wafer upon (10mm 10mm) upon N 2 purged globe box ndition Range ph 2-10 ph2:dhf ph5:co 2 water PH8-10: NH 4 OH water < wafer> Method :PVD thickness: 28nm 6

7 etching rate [nm/min] upon test1 (ph) Result In dilute HF In CO 2 water 0.01 <1ppb H₂O₂ In NH 4 OH water DO:<30ppb (μg/l) ph The influence of ph on the etching rate of The etching rate significantly decreased as ph increased. 7

8 upon test1 (ph) Why can dissolution be avoided by increasing ph? ndition dissolution mechanism In acid As standard oxidation-reduction potential of is V, is etched easily. H 2 H + e - 2+ In alkali The concentration of OH - is higher in higher ph. reacts with OH - and forms a passivating (OH) 2 layer. The will not be dissolved. OH - (OH) 2 8

9 upon test2 (Oxidizer) Experimental Procedure <Purpose>To study the behavior of dissolution changing oxidizer concentration wafer coupon Rinsing Analysis of conc. in the solution N 2 gas Dipping coupon in DIW (+NH 4 OH + H 2 O 2 ) Analysis by ICP-MS () wafer upon (10mm 10mm) upon N 2 purged globe box ndition Range ph 6-10 The ph was adjusted by adding NH 4 OH H 2 O 2 conc, ppm (mg/l) < wafer> Method :PVD thickness: 28nm 9

10 etching rate [nm/min] upon test2 (Oxidizer) Results In dilute HF In CO 2 water <1ppb H₂O₂ 10ppm H₂O₂ DO: <30ppb ph The influence of H 2 O 2 on the etching rate of By adding 10 ppm H 2 O 2, the etching rate of gets lower. 10

11 upon test 2 (Oxidizer) Results 10ppm NH 4 OH :ph=9 The correlation between the concentration of H 2 O 2 and the etching rate of. About both solutions 0 10ppm : The etching rates of decreases as H 2 O 2 concentration increases. 10ppm :The etching rates is stable at lower level. 11

12 Agenda 1. Introduction 2. upon test 3. Surface analysis 4. Mechanism mm wafer test 6. Summary 12

13 Surface analysis upon test 1 ph influences etching strongly. In alkali, etching rate is significantly lowered. upon test 2 When an oxidizer exists in the solution, etching rate is lowered furthermore. Oxidizer control ( in H 2 O 2 water) To clarify the mechanism of dissolution, we analyzed etched surface by XPS (X-ray photoelectron spectroscopy). 13

14 Surface analysis <Preparation of XPS> XPS spectrum for data analysis The binding energy concerning compounds are close each other, We obtained the binding energy of (OH) 2, O and 3 O 4 originally. Each sample was prepared as follow. (OH) eV O 780.0eV 3 O eV Water plasma Heating in O 2 atmosphere XPS result of surface O 2 plasma mpounds Deposition method Binding energy (ev) (OH) 2 Water plasma O Heating in O 2 atmosphere O 4 O 2 plasma

15 Surface analysis Experimental Procedure wafer coupon Rinsing Analysis of surface N 2 gas Dipping coupon in Rinsing solution wafer upon upon Analysis by XPS upon (10mm 10mm) N 2 purged globe box No. ndition 1 No rinsing % DHF % DHF 10ppm NH 4 OH % DHF 10ppm H 2 O % DHF 10ppm NH 4 OH+10ppm H 2 O 2 15

16 Surface analysis Results Rinsing condition and compound ratio on the surface after rinsing No. ndition compound ratio [%] O (OH) 2 1 No rinsing N.D % DHF 24.2 N.D % DHF 10ppm NH 4 OH 3.2 N.D % DHF 10ppm H 2 O % DHF 10ppm NH 4 OH+10ppm H 2 O Based on these results, we assumed reactions by rinsing. 16

17 Agenda 1. Introduction 2. upon test 3. Surface analysis 4. Mechanism mm wafer test 6. Summary 17

18 Mechanism (DHF) No. ndition compound ratio [%] O (OH) 2 1 No rinsing N.D % DHF 24.2 N.D Hypothetical mechanism of surface rinsed with DHF (OH) % DHF (OH) 2 is formed by atmospheric water vapor by the time XPS measurement was performed. (OH) 2 (OH) 2 + 2H H 2 O +2H H 2 +2H 2 O (OH) 2 + H 2 18

19 Mechanism (NH 4 OH) No. ndition compound ratio [%] O (OH) 2 1 No rinsing N.D % DHF 24.2 N.D % DHF 10ppm NH 4 OH 3.2 N.D Hypothetical mechanism of surface rinsed with NH 4 OH water. (OH) % DHF 10ppm NH 4 OH (OH) 2 (OH) 2 + 2H H 2 O +2H H 2 + 2H 2 O (OH) 2 + H 2 +2H H 2 :Fast, :No react 19

20 Mechanism (H 2 O 2 ) No. ndition compound ratio [%] O (OH) 2 1 No rinsing N.D % DHF 24.2 N.D % DHF 10ppm H 2 O Hypothetical mechanism of surface rinsed with H 2 O 2 water. (OH) % DHF 10ppm H 2 O 2 (OH) 2 O (OH) 2 + 2H H 2 O +2H H 2 + H 2 O 2 O+H 2 O O+H 2 O (OH) 2 +2H H 2 (OH) 2 + 2H H 2 O :Fast, :Slow 20

21 Mechanism (NH 4 OH+H 2 O 2 ) No. ndition compound ratio [%] O (OH) 2 1 No rinsing N.D % DHF 24.2 N.D % DHF 10ppm NH 4 OH+10ppm H 2 O Hypothetical mechanism of surface rinsed with NH 4 OH and H 2 O 2 water. (OH) % DHF 10ppm NH 4 OH + 10ppm H 2 O 2 O (OH) 2 (OH) 2 (OH) 2 + 2H H 2 O +2H H 2 + 2H 2 O (OH) 2 + H 2 + H 2 O 2 O+H 2 O O+H 2 O (OH) 2 +2H H 2 (OH) 2 + 2H H 2 O :Fast, :No react 21

22 Agenda 1. Introduction 2. upon test 3. Surface analysis 4. Mechanism mm wafer test 6. Summary 22

23 300mm Wafer test Functional water unit (dnh 4 OH, H 2 O 2 control) Wafer cleaning tool Analytical tool Measuring the weight of wafer Etching rate of 23

24 etching rate [nm/min] 300mm Wafer test ppm NH4OH 10ppm NH4OH + 10ppm H + 10ppm H2O2 2 O 2 DIW CO2 Water 10ppm NH 4 OH 10ppm NH 4 OH DIW CO 2 water Result of 300mm wafer test ndition 10ppm NH 4 OH +10ppm H 2 O 2 nductivity μs/cm CO 2 water 10 μs/cm dissolution is avoided by rinsing with NH 4 OH and H 2 O 2 water in the wafer test. 300mm wafer test reproduced the result of coupon test. 24

25 Agenda 1. Introduction 2. upon test 3. Surface analysis 4. Mechanism mm wafer test 6. Summary 25

26 Summary ph and/or H 2 O 2 existence influence dissolution strongly. O, which is formed by oxidizer, functions as the passivation film. 300mm wafer test reproduced the result of coupon test. 26

27 Thank you for your attention. ntact: Yuichi Ogawa (Kurita)

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY Q. T. LE*, E. KESTERS*, Y. AKANISHI**, A. IWASAKI**, AND F. HOLSTEYNS* * IMEC, LEUVEN, BELGIUM ** SCREEN SEMICONDUCTOR

More information

CONTROLLED COBALT RECESS FOR ADVANCED INTERCONNECT METALLIZATION.

CONTROLLED COBALT RECESS FOR ADVANCED INTERCONNECT METALLIZATION. CONTROLLED COBALT RECESS FOR ADVANCED INTERCONNECT METALLIZATION. Antoine Pacco a *, Y. Akanishi b, Q.T. Le a, E. Kesters a, G. Murdoch a, F. Holsteyns a A IMEC VZW, KAPELDREEF 75, 3001 LEUVEN, BELGIUM

More information

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park Post CMP Cleaning Conference @ SPCC2017 March 27, 2017 Jin-Goo Park Challenges in surface preparation Research trend in cleaning technology Lesson learned from current cleaning technology Challenges in

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC Cleaning Trends for Advanced Nodes April 9, 2018 Scotten W. Jones President IC Knowledge LLC sjones@icknowledge.com Outline DRAM Logic NAND Conclusion 2 DRAM Nodes 2011 2012 2013 2014 2015 2016 2017 2018

More information

Review of CMOS Processing Technology

Review of CMOS Processing Technology - Scaling and Integration Moore s Law Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from

More information

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process) Chapter : ULSI Process Integration (0.8 m CMOS Process) Reference. Semiconductor Manufacturing Technology : Michael Quirk and Julian Serda (00). - (00). Semiconductor Physics and Devices- Basic Principles(/e)

More information

Simple Cubic Crystal

Simple Cubic Crystal Starting Material Simple Cubic Crystal Crystal Planes offset by d/4 Diamond lattice cell (C, Si, Ge, etc.) face atom in FCC corner atom in FCC (100) plane (110) plane (111) plane Crystal Planes/Direction

More information

Passivation of Copper During Chemical Mechanical Planarization

Passivation of Copper During Chemical Mechanical Planarization 1 Passivation of Copper During Chemical Mechanical Planarization SFR Workshop & Review November 14, 22 Amnuaysak, Chianpairot and Fiona M. Doyle Berkeley, CA 23 GOAL: to characterize the composition of

More information

X-ray Photoelectron Spectroscopy

X-ray Photoelectron Spectroscopy X-ray Photoelectron Spectroscopy X-ray photoelectron spectroscopy (XPS) is a non-destructive technique used to analyze the elemental compositions, chemical and electronic states of materials. XPS has a

More information

2-1 Introduction The demand for high-density, low-cost, low-power consumption,

2-1 Introduction The demand for high-density, low-cost, low-power consumption, Chapter 2 Hafnium Silicate (HfSi x O y ) Nanocrystal SONOS-Type Flash Memory Fabricated by Sol-Gel Spin Coating Method Using HfCl 4 and SiCl 4 as Precursors 2-1 Introduction The demand for high-density,

More information

New Materials as an enabler for Advanced Chip Manufacturing

New Materials as an enabler for Advanced Chip Manufacturing New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 Outline New Materials:

More information

Effect of Additives in Diluted HF Solutions on Removal of Metal Contaminants and Particles on Silicon Wafer

Effect of Additives in Diluted HF Solutions on Removal of Metal Contaminants and Particles on Silicon Wafer Effect of Additives in Diluted HF Solutions on Removal of Metal Contaminants and Particles on Silicon Wafer Sung-Hae Jang a, Hyun-Tae Kim a, Dong-Hwan Lee a Jae-Hwan Lee b, Eun-Suck Choi b and Jin-Goo

More information

Low temperature deposition of thin passivation layers by plasma ALD

Low temperature deposition of thin passivation layers by plasma ALD 1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System

More information

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Accelerating the next technology revolution Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices Joel Barnett, Richard Hill, Chris Hobbs and Prashant Majhi 07-October-2010

More information

Lect. 2: Basics of Si Technology

Lect. 2: Basics of Si Technology Unit processes Thin Film Deposition Etching Ion Implantation Photolithography Chemical Mechanical Polishing 1. Thin Film Deposition Layer of materials ranging from fractions of nanometer to several micro-meters

More information

Make sure the exam paper has 9 pages total (including cover page)

Make sure the exam paper has 9 pages total (including cover page) UNIVERSITY OF CALIFORNIA College of Engineering Department of Electrical Engineering and Computer Sciences Fall 2010 EE143 Midterm Exam #2 Family Name First name SID Signature Solution Make sure the exam

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

Chapter 3. In this chapter, we use sol-gel method to combine three high-k precursors, i.e. HfCl 4, ZrCl 4 and SiCl 4 together to form hafnium silicate

Chapter 3. In this chapter, we use sol-gel method to combine three high-k precursors, i.e. HfCl 4, ZrCl 4 and SiCl 4 together to form hafnium silicate Chapter 3 Sol-Gel-Derived Zirconium Silicate (ZrSi x O y ) and Hafnium Silicate (HfSi x O y ) Co-existed Nanocrystal SONOS Memory 3-1 Introduction In the previous chapter, we fabricate the sol-gel-derived

More information

DRY REMOVAL OF A SURFACE FUNCTIONALIZATION CHEMISTRY USED FOR PATTERN COLLAPSE PREVENTION

DRY REMOVAL OF A SURFACE FUNCTIONALIZATION CHEMISTRY USED FOR PATTERN COLLAPSE PREVENTION DRY REMOVAL OF A SURFACE FUNCTIONALIZATION CHEMISTRY USED FOR PATTERN COLLAPSE PREVENTION GUY VEREECKE 1*, KANA KOMORI 2, YUTA NAKANO 2, FARID SEBAAI 1, XIUMEI XU 1, YUSUKE ONIKI 1, AND FRANK HOLSTEYNS

More information

New Materials and Processes for Advanced Chip Manufacturing

New Materials and Processes for Advanced Chip Manufacturing New Materials and Processes for Advanced Chip Manufacturing Bob Hollands Director Technical Marketing EXANE BNP Paribas Tech Expert Access Event London June 27, 2013 Outline New Materials: Moore s Law

More information

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications Dnyanesh Tamboli 1, Tom Shi 1, Chris Li 2, Ming-Shih Tsai 2, Rung-Je

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices Jun Yong Kim Cleaning/CMP Technology 1. CMP Process and Cleaning challenges 2. Problem Statement 3. Results of Cleaning

More information

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE K. Saga, H. Kuniyasu, and T. Hattori, M. B. Korzenski*, P.M. Visintin*, T. H. Baum* Sony Corporation Atsugi 243-8585 JAPAN Advanced

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

Examples of dry etching and plasma deposition at Glasgow University

Examples of dry etching and plasma deposition at Glasgow University Examples of dry etching and plasma deposition at Glasgow University Glasgow has pioneered and established many novel research activities involving the development of new dry etch processes and dry etch

More information

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation Chapter 4 UEEP2613 Microelectronic Fabrication Oxidation Prepared by Dr. Lim Soo King 24 Jun 2012 Chapter 4...113 Oxidation...113 4.0 Introduction... 113 4.1 Chemistry of Silicon Dioxide Formation... 115

More information

Surface Layer Characterization of Atomized Magnesium for use in Powder Metallurgy Products Paul Burke and Georges J. Kipouros

Surface Layer Characterization of Atomized Magnesium for use in Powder Metallurgy Products Paul Burke and Georges J. Kipouros Surface Layer Characterization of Atomized Magnesium for use in Powder Metallurgy Products Paul Burke and Georges J. Kipouros Materials Engineering Program Process Engineering and Applied Science Dalhousie

More information

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Semiconductor Manufacturing Technology. IC Fabrication Process Overview Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 00 by Prentice Hall Chapter 9 IC Fabrication Process Overview /4 Objectives After studying the material in this chapter, you

More information

Figure 1: Increased small-size defects for wafers treated in DHF and dried with and without IPA.

Figure 1: Increased small-size defects for wafers treated in DHF and dried with and without IPA. Solid State Phenomena Online: 2012-12-27 ISSN: 1662-9779, Vol. 195, pp 231-234 doi:10.4028/www.scientific.net/ssp.195.231 2013 Trans Tech Publications, Switzerland Influence of ammonia gas ambient in IPA

More information

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC CMPUG @CNSE April 16, 2016 Mike Corbett Managing Partner mcorbett@linx-consulting.com Agenda INTRODUCTION TO LINX CONSULTING SEMI INDUSRTY OUTLOOK

More information

UHF-ECR Plasma Etching System for Gate Electrode Processing

UHF-ECR Plasma Etching System for Gate Electrode Processing Hitachi Review Vol. 51 (2002), No. 4 95 UHF-ECR Plasma Etching System for Gate Electrode Processing Shinji Kawamura Naoshi Itabashi Akitaka Makino Masamichi Sakaguchi OVERVIEW: As the integration scale

More information

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy Jun-Mo Yang, Ph.D. Measurement & Analysis Team National NanoFab Center, Korea Introduction

More information

Direct Analysis of Photoresist by ICP-MS. Featuring the Agilent Technologies 7500s ICP-MS

Direct Analysis of Photoresist by ICP-MS. Featuring the Agilent Technologies 7500s ICP-MS Direct Analysis of Photoresist by ICP-MS Featuring the Agilent Technologies 7500s ICP-MS 1 Presentation Outline How is photoresist used? Analytical challenges Instrumentation developments Analytical approach

More information

46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki Japan. Keywords: Ion exchange, Filter, Ultra Pure Water, Metallic ion, Amine,TDDB,Qbd

46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki Japan. Keywords: Ion exchange, Filter, Ultra Pure Water, Metallic ion, Amine,TDDB,Qbd Solid State Phenomena Vols. 13-14 (5) pp 233-236 Online available since 5/Apr/1 at www.scientific.net (5) Trans Tech Publications, Switzerland doi:1.428/www.scientific.net/ssp.13-14.233 Further reduction

More information

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates SPCC POST-CMP CONFERENCE High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates Daniela White*, PhD Sr. Principal Scientist Atanu Das, PhD Scientist Thomas Parson, PhD

More information

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001) APPLICATION NOTE State of the art quality of a Ox interfacial passivation layer formed on (001) Summary A number of research efforts have been made to realize Metal-Oxide-Semiconductor Field Effect Transistors

More information

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook: HOMEWORK 4 and 5 March 15, 2009 Homework is due on Monday March 30, 2009 in Class. Chapter 7 Answer the following questions from the Course Textbook: 7.2, 7.3, 7.4, 7.5, 7.6*, 7.7, 7.9*, 7.10*, 7.16, 7.17*,

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

(12) Patent Application Publication (10) Pub. No.: US 2006/ A1

(12) Patent Application Publication (10) Pub. No.: US 2006/ A1 (19) United States US 20060228850A1 (12) Patent Application Publication (10) Pub. No.: US 2006/0228850 A1 Tsai et al. (43) Pub. Date: Oct. 12, 2006 (54) PATTERN LOADING EFFECT REDUCTION FOR SELECTIVE EPTAXAL

More information

Development of Low-resistivity TiN Films using Cat Radical Sources

Development of Low-resistivity TiN Films using Cat Radical Sources Development of Low-resistivity TiN Films using Cat Radical Sources Masamichi Harada*, Yohei Ogawa*, Satoshi Toyoda* and Harunori Ushikawa** In Cu wiring processes in the 32-nm node generation or later,

More information

CyMOS process Spring 2016 Iowa State University

CyMOS process Spring 2016 Iowa State University CyMOS process Spring 2016 Iowa State University Start Date Starting Material Orientation: Dopant: Resistivity: Ω cm Doping Concentration: cm 3 Diameter: inch Thickness: µm Lot Identification: Wafer Count

More information

Midterm evaluations. Nov. 9, J/3.155J 1

Midterm evaluations. Nov. 9, J/3.155J 1 Midterm evaluations What learning activities were found most helpful Example problems, case studies (5); graphs (good for extracting useful info) (4); Good interaction (2); Good lecture notes, slides (2);

More information

FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING

FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING Manufacturing, Cleaning, Gettering - Chapter 4 FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING Over the next several weeks, we ll study front

More information

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI CMOS Technology Flow varies with process types & company N-Well CMOS Twin-Well CMOS STI Start with substrate selection Type: n or p Doping level, resistivity Orientation, 100, or 101, etc Other parameters

More information

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer

Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Sasaki and Tani: Via Formation Process for Smooth Copper Wiring (1/6) [Technical Paper] Via Formation Process for Smooth Copper Wiring on Insulation Layer with Adhesion Layer Shinya Sasaki and Motoaki

More information

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing E.A. (Gene) Fitzgerald M.J. Mori, C.L.Dohrman, K. Chilukuri MIT Cambridge, MA USA Funding: MARCO IFC and Army

More information

Atomic Layer Deposition of Novel High Dielectric Constant Materials

Atomic Layer Deposition of Novel High Dielectric Constant Materials Atomic Layer Deposition of Novel High Dielectric Constant Materials Adam Kueltzo # & Julie Lam * Thornton Fractional North High School # and Maine East High School * August 2, 2007 University of Illinois

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Chapter 7 Polysilicon and Dielectric Film Deposition

Chapter 7 Polysilicon and Dielectric Film Deposition Chapter 7 Polysilicon and Dielectric Film Deposition Professor Paul K. Chu Thin Films in Microelectronics Polycrystalline silicon or polysilicon Doped or undoped silicon dioxide Stoichiometric or plasma-deposited

More information

April 11, th Surface Preparation and Cleaning Conference (SPCC) Department of 1 Bionanotechnology and 2

April 11, th Surface Preparation and Cleaning Conference (SPCC) Department of 1 Bionanotechnology and 2 19 th Surface Preparation and Cleaning Conference (SPCC) April 11, 2018 Jung-Hwan Lee 1, Murhukrishnan Purushothaman 1, Kwang-Min Han 1, Shohei Shima 3, Satomi Hamada 3, Hirokuni Hiyama 3, and Jin-Goo

More information

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices a, Steffen Oswald b, Nina Roth c, Heinrich Lang c, Stefan E. Schulz a,d, and Thomas Gessner a,d a Center

More information

Anode Effects in Electroplated Cu Film

Anode Effects in Electroplated Cu Film Paper Anode Effects in Electroplated Cu Film Yong-Hyuk Lee 1, Hyunjin Ju 2, Sa-Kyun Rha 2, Seung-Hee Lee 3 and Youn-Seoung Lee 1 * 1 Department of Information & Communication Engineering, Hanbat National

More information

Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH 3 -nitrided Si(100)

Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH 3 -nitrided Si(100) Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on H 3 -nitrided Si() Hiroshi akagawa, Akio Ohta, Fumito Takeno, Satoru agamachi, Hideki Murakami Seiichiro Higashi

More information

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to Supporting Information: Substrate preparation and SLG growth: All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to aid in visual inspection of the graphene samples. Prior

More information

Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond

Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond Arun R. Srivatsa - KLA-Tencor Corporation Spectroscopic Ellipsometry (SE) is a key technology for production monitoring of films in

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Jhon F. Londoño, Kurt E. Williams, Adrian J. Devasahayam Veeco Instruments Inc. Plainview, New York U.S.A Figure

More information

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ž. Surface and Coatings Technology 131 000 465 469 Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy Ha Jin Kim, Ho-Sun Paek, Ji-Beom Yoo Department

More information

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM Integrated Ferroelectrics, 84: 169 177, 2006 Copyright Taylor & Francis Group, LLC ISSN 1058-4587 print / 1607-8489 online DOI: 10.1080/10584580601085750 High Density Plasma Etching of IrRu Thin Films

More information

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION Uwe Dietze Davide Dattilo SUSS MicroTec OUTLINE Background EUVL Mask Life Time Concerns Potential Root Causes for Ru Damage Solutions & Mitigation

More information

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao Report 1 A. Overview The goal of this lab is to go through the semiconductor fabrication process from start to finish. This

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time IC Fabrication Technology Crystal Preparation

More information

Chapter 5 Thermal Processes

Chapter 5 Thermal Processes Chapter 5 Thermal Processes 1 Topics Introduction Hardware Oxidation Diffusion Annealing Post-Implantation Alloying Reflow High Temp CVD Epi Poly Silicon Nitride RTP RTA RTP Future Trends 2 Definition

More information

Zero Defects Entegris Newsletter

Zero Defects Entegris Newsletter Zero Defects Entegris Newsletter May 217 CONTENTS 1. Entegris News Entegris Acquires Microelectronics Filtration Product Line from W. L. Gore & Associates Entegris Partners with China s Spectrum Materials

More information

Linx Consulting, Inc.

Linx Consulting, Inc. STRATEGIC COST MODEL A Collaborative Development between Linx Consulting and IC Knowledge Background Based on real world product process flows and device roadmaps, with modifications for the latest process

More information

SKW Wafer Product List

SKW Wafer Product List SKW Wafer Product List Regularly updated (2.13.2018) SKW Associates, INC. 2920 Scott Blvd, Santa Clara, CA 95054 Tel: 408-919-0094, Fax: 408-919-0097 I. Available Wafers in 200mm and 300mm - Please refer

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Via etching in BCB for HBT technology

Via etching in BCB for HBT technology Via etching in for HBT technology H.Stieglauer, T.Wiedenmann, H.Bretz, H.Mietz, D.Traulsen, D.Behammer United Monolithic Semiconductors GmbH, Wilhelm-Runge-Strasse 11, D-89081 Ulm, Germany Phone: +49-731-505-3075,

More information

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization Prof. Rensselaer Polytechnic Institute Troy, NY 12180 Office: CII-6229 Tel.: (518) 276-2909 e-mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

(12) United States Patent (10) Patent No.: US 6,670,279 B1

(12) United States Patent (10) Patent No.: US 6,670,279 B1 USOO6670279B1 (12) United States Patent (10) Patent No.: US 6,670,279 B1 Pai et al. (45) Date of Patent: Dec. 30, 2003 (54) METHOD OF FORMING SHALLOW 6,228,727 B1 5/2001 Lim et al.... 438/296 TRENCH ISOLATION

More information

Research Article RF Magnetron Sputtering Aluminum Oxide Film for Surface Passivation on Crystalline Silicon Wafers

Research Article RF Magnetron Sputtering Aluminum Oxide Film for Surface Passivation on Crystalline Silicon Wafers Photoenergy Volume 13, Article ID 792357, 5 pages http://dx.doi.org/1.1155/13/792357 Research Article RF Magnetron Sputtering Aluminum Oxide Film for Surface Passivation on Crystalline Silicon Wafers Siming

More information

In-situ Monitoring of Atomic Layer Deposition Processes

In-situ Monitoring of Atomic Layer Deposition Processes Faculty of Electrical and Computer Engineering - Institute of Semiconductors and Microsystems NanoZEIT seminar @ SEMICON 2011 Deposition Processes M. Knaut*, M. Junige, M. Geidel, M. Albert, and J. W.

More information

2008 Summer School on Spin Transfer Torque

2008 Summer School on Spin Transfer Torque 2008 Summer School on Spin Transfer Torque Nano-scale device fabrication 2-July-2008 Byoung-Chul Min Center for Spintronics Research Korea Institute of Science and Technology Introduction Moore s Law

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. SESSION 14 MATERIALS AND PROCESSES FOR ADVANCED PACKAGING UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES. Eric Schulte 1, Gilbert Lecarpentier 2 SETNA Corporation

More information

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride Journal of Photopolymer Science and Technology Volume 8, Number () 7 SPST Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride Takuya Hagiwara, Kentaro Saito, Hiraku Chakihara,

More information

1 MARCH 2017 FILM DEPOSITION NANOTECHNOLOGY

1 MARCH 2017 FILM DEPOSITION NANOTECHNOLOGY 1 MARCH 2017 FILM DEPOSITION NANOTECHNOLOGY PRESENTATION Pedro C. Feijoo E-mail: PedroCarlos.Feijoo@uab.cat FABRICATION TECHNOLOGIES FOR NANOELECTRONIC DEVICES. PEDRO C. FEIJOO 2 FILM GROWTH Chemical vapor

More information

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part 2 EE 330 Lecture 9 IC Fabrication Technology Part 2 Quiz 8 A 2m silicon crystal is cut into wafers using a wire saw. If the wire diameter is 220um and the wafer thickness is 350um, how many wafers will this

More information

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO (glass) Major factor in making Silicon the main semiconductor Grown at high temperature in

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin Agenda Last module: Introduction to the course How a transistor works CMOS transistors This

More information

Radial, Axial or Dual View ICP: Which Do You Choose? Manny Almeida Teledyne Leeman Labs, Inc. Hudson, NH

Radial, Axial or Dual View ICP: Which Do You Choose? Manny Almeida Teledyne Leeman Labs, Inc. Hudson, NH Radial, Axial or Dual View ICP: Which Do You Choose? Manny Almeida Teledyne Leeman Labs, Inc. Hudson, NH 03031 Manuel.Almeida@Teledyne.com Teledyne Leeman Labs, Inc. US based manufacturer of Elemental

More information

USOO A United States Patent (19) 11 Patent Number: 5,989,978 Peidous (45) Date of Patent: Nov. 23, 1999

USOO A United States Patent (19) 11 Patent Number: 5,989,978 Peidous (45) Date of Patent: Nov. 23, 1999 USOO.5989978A United States Patent (19) 11 Patent Number: 5,989,978 Peidous (45) Date of Patent: Nov. 23, 1999 54 SHALLOW TRENCH ISOLATION OF Primary Examiner Wael Fahmy MOSFETS WITH REDUCED CORNER Assistant

More information

International Journal of Innovative Research and Knowledge. INTERNATIONAL JOURNAL OF INNOVATIVE RESEARCH AND KNOWLEDGE ISSN

International Journal of Innovative Research and Knowledge. INTERNATIONAL JOURNAL OF INNOVATIVE RESEARCH AND KNOWLEDGE ISSN INTERNATIONAL JOURNAL OF INNOVATIVE RESEARCH AND KNOWLEDGE www.ijirk.com The study of the deposition, composition and optical properties of Cu 2 O thin films at 100 o C of NaOH solution and annealed at

More information

Almost Complete Removal of Sub-90 nm Ceria Particles from Silicon Dioxide Surfaces Jihoon Seo, Akshay Gowda, and S.V. Babu

Almost Complete Removal of Sub-90 nm Ceria Particles from Silicon Dioxide Surfaces Jihoon Seo, Akshay Gowda, and S.V. Babu Almost Complete Removal of Sub-90 nm Ceria Particles from Silicon Dioxide Surfaces Jihoon Seo, Akshay Gowda, and S.V. Babu The Center for Advanced Materials Processing (CAMP), Clarkson University 1 1.

More information

Corial PS200 4-sided multi-module platform

Corial PS200 4-sided multi-module platform Corial PS200 4-sided multi-module platform Single wafer platform equipped with 200 mm modules Integration of ICP-CVD or PECVD process chambers Fully automated platform with cassette-to-cassette handler

More information

Supporting Information. Microfabrication and Integration of Diazonium-based Aromatic Molecular Junctions

Supporting Information. Microfabrication and Integration of Diazonium-based Aromatic Molecular Junctions Supporting Information Microfabrication and Integration of Diazonium-based Aromatic Molecular Junctions Jie Ru 1,2, Bryan Szeto 1, Andrew Bonifas 1,3,Richard L. McCreery 1,2 1 National Institute for Nanotechnology,

More information

Angle-resolved XPS study of carbon steel passivity and chloride-induced depassivation in simulated concrete pore solution

Angle-resolved XPS study of carbon steel passivity and chloride-induced depassivation in simulated concrete pore solution Angle-resolved XPS study of carbon steel passivity and chloride-induced depassivation in simulated concrete pore solution Authors: P. Ghods et al Year: 2012 Introduction Carbon steel rebar is generally

More information

Anirban Som

Anirban Som Anirban Som 08-02-14 Introduction Few electronic conductors are both stretchable and transparent. The existing stretchable and transparent electrodes, such as graphene sheets, carbon nanotube films and

More information

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL Atomic Layer Deposition process solutions using FlexAL and OpAL Introduction to Self limiting digital growth Atomic Layer Deposition () offers precisely controlled ultra-thin films for advanced applications

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 22 DRY-ETCHING for ULSI APPLICATIONS 2004 by LATTICE PRESS CHAPTER 22 - CONTENTS Types of Dry-Etching Processes The Physics & Chemistry of Plasma-Etching Etching

More information

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD) Lecture 8 Deposition of dielectrics and metal gate stacks (CVD, ALD) Thin Film Deposition Requirements Many films, made of many different materials are deposited during a standard CMS process. Gate Electrodes

More information

INTEGRATION OF N- AND P-CONTACTS TO GaN-BASED LIGHT EMITTING DIODES

INTEGRATION OF N- AND P-CONTACTS TO GaN-BASED LIGHT EMITTING DIODES International Journal of High Speed Electronics and Systems Vol. 20, No. 3 (2011) 521 525 World Scientific Publishing Company DOI: 10.1142/S0129156411006817 INTEGRATION OF N- AND P-CONTACTS TO GaN-BASED

More information

IC Fabrication Technology Part III Devices in Semiconductor Processes

IC Fabrication Technology Part III Devices in Semiconductor Processes EE 330 Lecture 10 IC Fabrication Technology Part III Metalization and Interconnects Parasitic Capacitances Back-end Processes Devices in Semiconductor Processes Resistors Diodes Review from Last Lecture

More information