Mesostructure Evolution: Multiscale Process and Materials Modeling in Microelectronics

Size: px
Start display at page:

Download "Mesostructure Evolution: Multiscale Process and Materials Modeling in Microelectronics"

Transcription

1 Mesostructure : Multiscale and Materials Modeling in Microelectronics Timothy S. Cale School of Materials, Arizona State University timothy.cale@gmail.com

2 Goals Show results of two multiscale modeling (6 or 7 orders of magnitude in space) efforts Concurrent solutions at all scales Goal is to relate equipment setpoints to responses Summarize our efforts on grain-focused models for polycrystalline films Structures at the mesoscale exist in many areas Our work focuses on allowing you to use your process models to evolve meso-structures

3 Multiscale Model for CVD Focus on transport and chemical reactions SiO 2 CVD from TEOS Keys: Homogenization (M. Gobbert) and EVOLVE (T. Cale) Reactant mixture inlet Wafer surface To pumps Homogeneous Reactions SiE 4 Si(OH)E 3 + C 2 H 4 SiE 4 + H 2 O Si(OH)E 3 + C 2 H 5 OH SiE 4 + Si(OH)E 3 O(SiE 3 ) 2 + C 2 H 5 OH 2Si(OH)E 3 O(SiE 3 ) 2 + H 2 O Susceptor Heterogeneous Reactions SiE 4 + SiG 3 (OH) SiO 2 (D) + SiGE 3 + C 2 H 5 OH SiGE 3 SiG 3 (OH) + C 2 H 4 SiG(OH)E 2 SiG(OH) 2 E + C 2 H 4 SiGE 3 SiG(OH)E 2 + C 2 H 4 SiG(OH) 2 E SiG 3 (OH) + C 2 H 5 OH SiG(OH)E 2 SiGE 3 + C 2 H 5 OH SiG(OH) 2 E SiG 3 E + H 2 O Si(OH)E 3 + SiG 3 (OH) SiO 2 (D) + H 2 O + SiGE 3 To pumps At the equipment, or wafer, scale - solved the continuum transport and reaction model using FIDAP (finite diff.) At the pattern, or die scale, solved the continuum transport and reaction model equations using FIDAP (interfaced with the larger scale through BCs) At the feature (micron then, sub-micron now) scale, solved the free molecular flow and reaction model using EVOLVE (in-house code) Homogenization (here) basically converts rough surfaces to flux-equiv. flat surfaces Gobbert et al., JECS 144, 3945 (1997); Merchant et al., TSF 365, (2000)

4 Multiscale Model for CVD Triethoxysilanol (red high, blue low) Grids: Coupled through BCs ~1 m ~1 m FIDAP ~100 ~100 mm mm FIDAP ~0.1 mm EVOLVE for line-of-sight transport nd complex chemical reactions (no mesh - Growth rate depends on position through triethoxysilanol flux

5 Multiscale Model for CMP Focus on mechanical and fluid modeling local forces needed Keys: Greenwood-Williamson (ME toolbox) and elastohydrodynamics (J. Tichy) Down Force Ball Joint Polish Pad Wafer Carrier Slurry Asperities & Abrasives Wafer (ANSYS) w c Asperity (ANSYS) Carrier Film Abrasive (In house) Wafer w p Contact Interface Platen Unpatterned wafers Kim et al., JECS 150(9), 570 (2003); Seok et al., Wear 254, 307 (2003); Wear 257, 496 (2004);

6 Multiscale Model for CMP Wafer: Tool design, setpoints, pad properties wafer Asperity: Asperity properties, contact area/force (asperity flipped vertically) pad Abrasive: Removal model, Abrasive info, slurry info Fluid flow Pad compression Wafer flexion (ANSYS) Asperity statistics GW homogenization Asperity compression (ANSYS) Slurry drag, aging Slurry Particle Abrasive (slurry) particle statistics Material removal rate model (in-house code)

7 Multiscale Modeling (One of hundreds) Enterprise ($) Fab - VWF Module e.g., pattern transfer AMAT IBM Wafer/ Equipment Pattern/Chip Semi. Int Atomic/Discrete Island/Grain Film/Interconnect Grain Effects are needed for reliability and performance modeling part of a Virtual Wafer Fab IBM Cale, ANSYS PANEL 8/27/08

8 Drivers for a Grain-Focus Models (e.g., thermal and mechanical) that account for grain structure yield different results from those using continuum representations for many systems more common today. Atomistic models are not (yet) suitable for the spatial and time scales needed to answer many engineering questions. Grain-focused models really are needed for some studies... Current/stress induced voiding Grain boundary scattering Resistivity jumps in small lines Conducting AFM measurement G. Schneider et al., J. Vac. Sci. Technol. B 20(6), 3089 (2002). Data are for 230 nm high lines, see Steinhoegl et al. from SISPAD 2003 Bietsch and Michel, APL 80, 3346 (2002).

9 PLENTE*: A Grain-Focused Code Grain boundary-fitted (GBF) meshes are constructed each tet of the mesh belongs to only one grain or material. Each material (grain) is a distinct subdomain and is assigned material dependent properties; e.g., elastic constants, that are used in the FEM. PLENTE exchanges information with other codes (e.g., ANSYS) used for process and materials simulations. PLENTE evolves the grains in time using multiple level sets, according to the simulation results. Bloomfield et al., Phil. Mag. 83(31-34), 3549 (2003) *Parallel Level-set Environment for Nanoscale Topography (

10 3D Grain Boundary Motion Arrays of Cu lines Curvature Induced Motion SiO µm Strain Induced Motion [001] 0.1 µm <hkl> <hkl> Cu <hkl> 0.1 µm SiO µm Si 0.1 µm [100] [010] Directional dependence of Young s Modulus of Cu <100> Front view Bloomfield and Cale, Microelec. Eng. 76, 195 (2004) Bentz et al., JEM 37, (2008) Awo-Affouda et al., in 2007 SISPAD, IEEE, 2007, pp Rear view

11 One Next Step ANSYS, etc. PLENTE (Cale et al.) ~ Get info from atomistic simulations (e.g., ADEPT) during process simulations (e.g., PLENTE interfaced with ANSYS); e.g., to improve how we handle grain boundaries. Interface (code & database) ADEPT (Huang et al.) ~ VASP, etc.

12 Summary Multiscale modeling (6 or 7 orders of magnitude in space) demonstrated for a few processes. Software that evolves mesostructures is needed, and should be useful to engineers; i.e., they can use the process simulators they are already using. We have such a mesostructure -focused code; PLENTE represents and evolves interacting 3D objects using multiple level sets on tet meshes. A continuum approach to modeling materials that are structured at the mesoscale; e.g. Polycrystalline/polygranular films Voids in porous media Cells in biological systems Composite materials Provides refined targets for information from atomistic models; i.e., specific, resolved grain boundaries.

Chemical Mechanical Planarization

Chemical Mechanical Planarization 1 Chemical Mechanical Planarization SFR Workshop & Review November 14, 2002 David Dornfeld, Fiona Doyle, Costas Spanos, Jan Talbot Berkeley, CA 2 Focus of this presentation CMP research milestones in SFR

More information

Analysis of Large Pad Surface Contact Area in Copper CMP

Analysis of Large Pad Surface Contact Area in Copper CMP Analysis of Large Pad Surface Contact Area in Copper CMP X. Liao 1, Y. Zhuang 1,2, L. Borucki 2, Y. Sampurno 1,2 and A. Philipossian 1,2 1 University of Arizona, Tucson AZ USA 2 Araca Incorporated, Tucson

More information

Modeling of Local Oxidation Processes

Modeling of Local Oxidation Processes Introduction Isolation Processes in the VLSI Technology Main Aspects of LOCOS simulation Athena Oxidation Models Several Examples of LOCOS structures Calibration of LOCOS effects using VWF Field Oxide

More information

Computational and Analytical Methods in AM: Linking Process to Microstructure

Computational and Analytical Methods in AM: Linking Process to Microstructure Computational and Analytical Methods in AM: Linking Process to Microstructure Greg Wagner Associate Professor, Mechanical Engineering Northwestern University Workshop on Predictive Theoretical and Computational

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

The History & Future of

The History & Future of The History & Future of CMP CMPUG July 2008 Karey Holland, Ph.D. kholland@nexplanar.com Ken Cadien, Ph.D. University of Alberta kcadien@ualberta.ca http://www.nexplanar.com http://www.ualberta.ca/ Outline

More information

A Molecular Dynamics Study of Polishing and Grinding. S.J. Eder, U. Cihak-Bayr, D. Bianchi, A. Vernes, G. Betz

A Molecular Dynamics Study of Polishing and Grinding. S.J. Eder, U. Cihak-Bayr, D. Bianchi, A. Vernes, G. Betz K2 centre Tribology A Molecular Dynamics Study of Polishing and Grinding S.J. Eder, U. Cihak-Bayr, D. Bianchi, A. Vernes, G. Betz AC²T research GmbH, Wiener Neustadt, Austria 1/many Austrian Ministry for

More information

Czochralski Crystal Growth

Czochralski Crystal Growth Czochralski Crystal Growth Crystal Pulling Crystal Ingots Shaping and Polishing 300 mm wafer 1 2 Advantage of larger diameter wafers Wafer area larger Chip area larger 3 4 Large-Diameter Wafer Handling

More information

Time Homogenization of Al3003 H-18 foils undergoing metallurgical bonding using Ultrasonic Consolidation

Time Homogenization of Al3003 H-18 foils undergoing metallurgical bonding using Ultrasonic Consolidation Time Homogenization of Al3003 H-18 foils undergoing metallurgical bonding using Ultrasonic Consolidation Deepankar Pal and Brent E. Stucker Department of Industrial Engineering, University of Louisville,

More information

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 The ABC s of CMP for DWB and SOI Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010 Outline Introduction Direct Wafer Bonding (DWB) Background CMP for DWB Silicon-On-Insulator (SOI) Background

More information

6. Formation and Evolution of Grain Structure in Thin Films, M.O. Bloomfield and T S. Cale, Microelectronics Engineering, 76(1-4), (2004).

6. Formation and Evolution of Grain Structure in Thin Films, M.O. Bloomfield and T S. Cale, Microelectronics Engineering, 76(1-4), (2004). MAX O. BLOOMFIELD RESEARCH INTERESTS Formation and evolution of microstructure in thin films, numerical approaches to modeling of multiscale/multiphysics phenomena. Specific interests include methods for

More information

Deposition and characterization of sputtered ZnO films

Deposition and characterization of sputtered ZnO films Superlattices and Microstructures 42 (2007) 89 93 www.elsevier.com/locate/superlattices Deposition and characterization of sputtered ZnO films W.L. Dang, Y.Q. Fu, J.K. Luo, A.J. Flewitt, W.I. Milne Electrical

More information

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 CMP for Thru-Silicon Vias TSV Overview & Examples March 2009 Packaging Evolution Source: Yole Dev 2007 2 3D Integration Source: Yole Dev 2007 Growth rates for 3D integration Flash continues to drive the

More information

CMP Scratches; Their Detection and Analysis on Root Causes

CMP Scratches; Their Detection and Analysis on Root Causes 6 th LEVITRONIX CMP and Ultrapure Conference The Westin Park Central, Dallas, Texas May 11-12, 2011 CMP Scratches; Their Detection and Analysis on Root Causes Jin-Goo Park May 11, 2011 Department of Materials

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

INTEGRATED-CIRCUIT TECHNOLOGY

INTEGRATED-CIRCUIT TECHNOLOGY INTEGRATED-CIRCUIT TECHNOLOGY 0. Silicon crystal growth and wafer preparation 1. Processing Steps 1.1. Photolitography 1.2. Oxidation 1.3. Layer Deposition 1.4. Etching 1.5. Diffusion 1.6 Backend: assembly,

More information

Manufacturing and Reliability Modelling

Manufacturing and Reliability Modelling Manufacturing and Reliability Modelling Silicon Chip C Bailey University of Greenwich London, England Printed Circuit Board Airflow Temperature Stress at end of Reflow Stress Product Performance in-service

More information

Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films

Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films H.D. Espinosa and B.C. Prorok Department of Mechanical Engineering, Northwestern University Evanston, IL 628-3111, USA ABSTRACT

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Fabrication and application of high quality diamond coated. CMP pad conditioners

Fabrication and application of high quality diamond coated. CMP pad conditioners Fabrication and application of high quality diamond coated CMP pad conditioners Hua Wang 1,a, Fanghong Sun 1,b* 1 School of Mechanical Engineering, Shanghai Jiao Tong University, Shanghai, 200240, China

More information

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Process Optimization in Post W CMP In-situ Cleaning Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA Contents W CMP process for sub 14nm device W Gate CMP W

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

24th European Photovoltaic Solar Energy Conference and Exhibition, September 2009, Hamburg, Germany

24th European Photovoltaic Solar Energy Conference and Exhibition, September 2009, Hamburg, Germany A MULTISCALE MODEL OF THE ALUMINIUM LAYER AT THE REAR SIDE OF A SOLAR CELL T. van Amstel 1, V. Popovich 2 and I.J. Bennett 1 ¹ECN Solar Energy, P.O. Box 1, 1755 ZG Petten, The Netherlands ²Department of

More information

4th Annual SFR Workshop, Nov. 14, 2001

4th Annual SFR Workshop, Nov. 14, 2001 4th Annual SFR Workshop, Nov. 14, 2001 8:30 9:00 Research and Educational Objectives / Spanos 9:00 9:45 CMP / Doyle, Dornfeld, Talbot, Spanos 9:45 10:30 Plasma & Diffusion / Graves, Lieberman, Cheung,

More information

Thermomechanical Response of Anisotropically Conductive Film

Thermomechanical Response of Anisotropically Conductive Film Thermomechanical Response of Anisotropically Conductive Film Yung Neng Cheng, Shyong Lee and Fuang Yuan Huang Department of Mechanical Engineering National Central University, Chung-li, Taiwan shyong@cc.ncu.edu.tw

More information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials Interconnects Outline Interconnect scaling issues Aluminum technology Copper technology 1 Properties of Interconnect Materials Metals Silicides Barriers Material Thin film Melting resistivity point ( C)

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

行政院國家科學委員會補助專題研究計畫成果報告

行政院國家科學委員會補助專題研究計畫成果報告 NSC89-2215-E-009-104 89 08 01 90 07 31 Fabrication and Characterization of Low-Temperature Polysilicon Thin Film Transistors with Novel Self-Aligned Sub-Gate Structures NSC89-2215-E009-104 (FID) self-aligned

More information

Copper Interconnect Technology

Copper Interconnect Technology Tapan Gupta Copper Interconnect Technology i Springer Contents 1 Introduction 1 1.1 Trends and Challenges 2 1.2 Physical Limits and Search for New Materials 5 1.3 Challenges 6 1.4 Choice of Materials 7

More information

EVALUTION OF EROSION WEAR OF CETRIFUGAL PUMP USING CFD

EVALUTION OF EROSION WEAR OF CETRIFUGAL PUMP USING CFD EVALUTION OF EROSION WEAR OF CETRIFUGAL PUMP USING CFD Satish kumar Department of Mechanical Engineering, Thapar Institute of Engineering and Technology, (India) ABSTRACT Centrifugal pumps are extensively

More information

A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process

A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process 1 A Time Dependency CMP Model for Dishing and Erosion in Copper Damascene and STI Process SFR Workshop & Review April 17, 2002 Jianfeng Luo, Runzi (Tiger) Chang and Professor David A. Dornfeld Berkeley,

More information

Through Silicon Vias Annealing: A thermo-mechanical assessment

Through Silicon Vias Annealing: A thermo-mechanical assessment Dresden University of Technology / Through Silicon Vias Annealing: P. Saettler (1), K. J. Wolter (1), M. Hecker (2), M. Boettcher (3) and C. Rudolph (3) (1) Technische Universität Dresden, (2) Globalfoundries

More information

Proper Filtration Removes Large Particles from Copper CMP Slurries

Proper Filtration Removes Large Particles from Copper CMP Slurries Proper Filtration Removes Large Particles from Copper CMP Slurries Mike H.-S. Tseng*, Karen Carter*, Joel Marchese*, Mike Parakilas*, Quamrul Arefeen, Thomas B. Hackett, and Steve Hymes 3M Purification

More information

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Hisada et al.: FEM Analysis on Warpage and Stress at the Micro Joint (1/6) [Technical Paper] FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Takashi Hisada*, Yasuharu Yamada*,

More information

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan Chemical Mechanical Planarization STACK TRECK Viorel.balan@cea.fr > Red 50 is years The of New Moore s Blue Law Stacking Is The New Scaling 2 Lithography Enables Scaling / CMP Enables Stacking Building

More information

SLURRY FORMULATION OPTIONS

SLURRY FORMULATION OPTIONS SLURRY FORMULATION OPTIONS CHALLENGES FOR DEFECT REDUCTION IN CU,Ta/TaN AND Ru PLANARIZATION S. V. Babu Center for Advanced Materials Processing, 1 Clarkson University (www.clarkson.edu/camp) Acknowledgments

More information

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT 1 Seminar Title: Past, present, and future of Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley 2 Overview Outline History and Future Development (courtesy of Ken Cadien,

More information

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications M. Gonzalez 1, B. Vandevelde 1, Jan Vanfleteren 2 and D. Manessis 3 1 IMEC, Kapeldreef 75, 3001, Leuven,

More information

OverVizGlow. Spark EM Flow Grain Multiphysics Simulation Suite. VizGlow CCP Simulation. VizGlow ICP Simulation

OverVizGlow.   Spark EM Flow Grain Multiphysics Simulation Suite. VizGlow CCP Simulation. VizGlow ICP Simulation OverVizGlow Spark EM Flow Grain Multiphysics Simulation Suite VizGlow CCP Simulation VizGlow ICP Simulation www.esgeetech.com 2 OverViz VizGlow VizSpark Parallelized, scalable framework for creating 1D/2D/3D,

More information

Modelling of Material Removal in Abrasive Flow Machining Process Using CFD Simulation

Modelling of Material Removal in Abrasive Flow Machining Process Using CFD Simulation Journal of Basic and Applied Engineering Research Print ISSN: 2350-0077; Online ISSN: 2350-0255; Volume 1, Number 2; October, 2014 pp. 73-78 Krishi Sanskriti Publications http://www.krishisanskriti.org/jbaer.html

More information

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Dr. Roland Irsigler, emens AG Corporate Technology, CT T P HTC Outline TSV SOLID µbump Stacking TSV application FEA

More information

5/3/2010. CMP UG

5/3/2010. CMP UG About the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Defectivity is one of the major factors affecting CMP performance (&FAB yield), and scratching is its most troubling component.

More information

Micro-tube insertion into aluminum pads: Simulation and experimental validations

Micro-tube insertion into aluminum pads: Simulation and experimental validations Micro-tube insertion into aluminum pads: Simulation and experimental validations A. Bedoin, B. Goubault, F. Marion, M. Volpert, F. Berger, A. Gueugnot, H. Ribot CEA, LETI, Minatec Campus 17, rue des Martyrs

More information

Modeling the Effect of Microstructure in BGA Joints

Modeling the Effect of Microstructure in BGA Joints Intl. Journal of Microcircuits and Electronic Packaging Modeling the Effect of Microstructure in BGA Joints S. J. Holden and E. R. Wallach Department of Materials Science and Metallurgy Cambridge University

More information

Advances in Engineering Research (AER), volume 102 Second International Conference on Mechanics, Materials and Structural Engineering (ICMMSE 2017)

Advances in Engineering Research (AER), volume 102 Second International Conference on Mechanics, Materials and Structural Engineering (ICMMSE 2017) Second International Conference on Mechanics, Materials and Structural Engineering (ICMMSE 2017) Modelling the influence of friction coefficient on materials process by Equal Channel Angular Press technique

More information

Multi-scale modeling of hydrogen transport in porous graphite

Multi-scale modeling of hydrogen transport in porous graphite Multi-scale modeling of hydrogen transport in porous graphite Ralf Schneider Computational Science Institute of Physics Ernst-Moritz-Arndt Universität, Greifswald 12.12.2011 Hydrocarbon-codeposition Emppu

More information

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project Feature-level Compensation & Control CMP September 15, 2005 A UC Discovery Project Chemical Mechanical Planarization - Faculty Team Mechanical Phenomena David A. Dornfeld Mechanical Engineering UCB Fiona

More information

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Numerical Simulation of Sliding Contact during Sheet Metal Stamping

Numerical Simulation of Sliding Contact during Sheet Metal Stamping Numerical Simulation of Sliding Contact during Sheet Metal Stamping Biglari F. R. * Nikbin K. ** O Dowd N. P. ** Busso E.P. ** * Mechanical Engineering Department, Amirkabir University of Technology, Hafez

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

EE BACKEND TECHNOLOGY - Chapter 11. Introduction 1 EE 212 FALL 1999-00 BACKEND TECHNOLOGY - Chapter 11 Introduction Backend technology: fabrication of interconnects and the dielectrics that electrically and physically separate them. Aluminum N+ Early

More information

Silicon Stress Metrology for Cu-TSVs in 3D ICs

Silicon Stress Metrology for Cu-TSVs in 3D ICs Silicon Stress Metrology for Cu-TSVs in 3D ICs Colin McDonough, Benjamin Backes, Pratibha Singh, Larry Smith, Wei Wang, and Robert E. Geer College of Nanoscale Science & Engineering, University at Albany,

More information

Modeling Precipitate Microstructure Evolution in Alloys With First- Principles Energetic Information

Modeling Precipitate Microstructure Evolution in Alloys With First- Principles Energetic Information Materials Science Forum Vols. 449-452 (2004) pp. 19-24 online at http://www.scientific.net (2004) Trans Tech Publications, Switzerland Modeling Precipitate Microstructure Evolution in Alloys With First-

More information

Lattice Kinetic Monte Carlo: Building a bridge between ab-initio calculations and process simulation

Lattice Kinetic Monte Carlo: Building a bridge between ab-initio calculations and process simulation Lattice Kinetic Monte Carlo: Building a bridge between ab-initio calculations and process simulation Polycrystalline Materials E. Rubio, M. Jaraiz, L.A. Bailon, J. Barbolla, M.J. Lopez University of Valladolid,

More information

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle Lecture 12 Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12 Evaporation and Sputtering (Metalization) Evaporation For all devices, there is a need to go from semiconductor to metal.

More information

Modeling of Micro Wire Electro Discharge Machining in Aerospace Material

Modeling of Micro Wire Electro Discharge Machining in Aerospace Material Abstract Modeling of Micro Wire Electro Discharge Machining in Aerospace Material K P Maity*, M. K. Mohanta Department of Mechanical Engineering National Institute of Technology, Rourkela-769008, INDIA

More information

HYPRES. Hypres MCM Process Design Rules 04/12/2016

HYPRES. Hypres MCM Process Design Rules 04/12/2016 HYPRES Hypres MCM Process Design Rules 04/12/2016 Direct all inquiries, questions, comments and suggestions concerning these design rules and/or HYPRES fabrication to: Daniel T. Yohannes Tel. (914) 592-1190

More information

Computational Framework for Assessing Grain Boundary Structure-Property Relationships at Nanoscale

Computational Framework for Assessing Grain Boundary Structure-Property Relationships at Nanoscale Computational Framework for Assessing Grain Boundary Structure-Property Relationships at Nanoscale M.A. Tschopp, M.F. Horstemeyer Center for Advanced Vehicular Systems (CAVS), Mississippi State University

More information

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP Post CMP Cleaning Austin 2017 Ratanak Yim (Viorel Balan) R. Yim 1,2,5, C. Perrot 2, V. Balan 1, P-Y. Friot 3, B. Qian 3, N. Chiou

More information

Institute of Solid State Physics. Technische Universität Graz. Deposition. Franssila: Chapters 5 & 6. Peter Hadley

Institute of Solid State Physics. Technische Universität Graz. Deposition. Franssila: Chapters 5 & 6. Peter Hadley Technische Universität Graz Institute of Solid State Physics Deposition Franssila: Chapters 5 & 6 Peter Hadley Silicon wafers Total Thickness Variation: a good 8" Prime wafer would be < 15 m Site flatness

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes

Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes Characterization of Nanoscale Electrolytes for Solid Oxide Fuel Cell Membranes Cynthia N. Ginestra 1 Michael Shandalov 1 Ann F. Marshall 1 Changhyun Ko 2 Shriram Ramanathan 2 Paul C. McIntyre 1 1 Department

More information

Chapter 3: Powders Production and Characterization

Chapter 3: Powders Production and Characterization Chapter 3: Powders Production and Characterization Course Objective... To introduce selective powder production processes and characterization methods. This course will help you : To understand properties

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

NOVEL MATERIALS FOR IMPROVED QUALITY OF RF-PA IN BASE-STATION APPLICATIONS

NOVEL MATERIALS FOR IMPROVED QUALITY OF RF-PA IN BASE-STATION APPLICATIONS Novel Material for Improved Quality of RF-PA in Base-Station Applications Co-Authored by Nokia Research Center and Freescale Semiconductor Presented at 10 th International Workshop on THERMal INvestigations

More information

Packaging Effect on Reliability for Cu/Low k Damascene Structures*

Packaging Effect on Reliability for Cu/Low k Damascene Structures* Packaging Effect on Reliability for Cu/Low k Damascene Structures* Guotao Wang and Paul S. Ho Laboratory of Interconnect & Packaging, TX 78712 * Work supported by SRC through the CAIST Program TRC 2003

More information

Fundamentals of X-ray diffraction and scattering

Fundamentals of X-ray diffraction and scattering Fundamentals of X-ray diffraction and scattering Don Savage dsavage@wisc.edu 1231 Engineering Research Building (608) 263-0831 X-ray diffraction and X-ray scattering Involves the elastic scattering of

More information

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology

A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology A Couple Of Considerations on the Dynamics of Defectivity Generation in CMP Technology Yehiel Gotkis Maskless Nanowriter HR001-06-03-0008 Post- CMP Defectivity is one of the major factors affecting its

More information

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA]

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA] Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance Ara Philipossian [Araca Incorporated, Tucson AZ USA] Problem Statement Slurries are expensive and reducing their flow

More information

Fluid Structural Thermal Analysis of Cylindrical Journal Bearing

Fluid Structural Thermal Analysis of Cylindrical Journal Bearing Fluid Structural Thermal Analysis of Cylindrical Journal Bearing K Aparna Assistant Professor Department of Thermal Engineering Ellenki College of Engineering and Technology Telangana, India. ABSTRACT

More information

A Modeling Platform for Ultrasonic Immersion Testing of Polycrystalline Materials with Flaws

A Modeling Platform for Ultrasonic Immersion Testing of Polycrystalline Materials with Flaws 11th European Conference on Non-Destructive Testing (ECNDT 2014), October 6-10, 2014, Prague, Czech Republic A Modeling Platform for Ultrasonic Immersion Testing of Polycrystalline Materials with Flaws

More information

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona CMPUG 2002 Nitrogen-Based Slurry Development for Copper/Low-k (SiLK TM ) Integration June 5, 2002 Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona EKC == CMP It doesn t get

More information

Application of Computational Methods to Develop Advanced Energy Systems with Carbon Management. Anthony Cugini

Application of Computational Methods to Develop Advanced Energy Systems with Carbon Management. Anthony Cugini Application of Computational Methods to Develop Advanced Energy Systems with Carbon Management Anthony Cugini Multi-Scale Modeling, Augus27, 2008 Plant IECM Aspen Plus APECS Computational Capabilities

More information

Thermal Symposium August Minteq International, Inc. Pyrogenics Group A Thermal Management Solution for State-of-the-Art Electronics

Thermal Symposium August Minteq International, Inc. Pyrogenics Group A Thermal Management Solution for State-of-the-Art Electronics Thermal Symposium August 9-10 2017 Minteq International, Inc. Pyrogenics Group A Thermal Management Solution for State-of-the-Art Electronics Mark Breloff Technical Sales Manager 1 Electronics power requirements

More information

Energy Dissipation Mechanism Based Materials and Materials Systems Design

Energy Dissipation Mechanism Based Materials and Materials Systems Design Energy Dissipation Mechanism Based Materials and Materials Systems Design Wing Kam Liu w-liu@northwestern.edu Walter P. Murphy Professor of Mechanical and Civil Engineering President, International Association

More information

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP 1 TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP Fiona M. Doyle and Shantanu Tripathi* University of California at Berkeley Department of Materials Science and Engineering 210 Hearst Mining Building

More information

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1*

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1* Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1* 1 Fujimi Corporation, Oregon, USA 97062 2 Fujimi Incorporated, Gifu, Japan 509-0108 *Contacts: hli@fujimico.com,

More information

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley Microstructure of Electronic Materials Amorphous materials Single-Crystal Material 1 The Si Atom The Si Crystal diamond structure High-performance semiconductor devices require defect-free crystals 2 Crystallographic

More information

A Nano-thick SOI Fabrication Method

A Nano-thick SOI Fabrication Method A Nano-thick SOI Fabrication Method C.-H. Huang 1, J.T. Cheng 1, Y.-K. Hsu 1, C.-L. Chang 1, H.-W. Wang 1, S.-L. Lee 1,2, and T.-H. Lee 1,2 1 Dept. of Mechanical Engineering National Central University,

More information

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014 3M TM Trizact TM Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications March 19, 214 J. Zabasajja, V. Laraia, M. Fritz, J. Sokol and Junqing Xie 3M Electronics Markets Material Division,

More information

CHAPTER 7 PREDICTION OF TEMPERATURE DISTRIBUTION ON CUTTING TOOL

CHAPTER 7 PREDICTION OF TEMPERATURE DISTRIBUTION ON CUTTING TOOL 142 CHAPTER 7 PREDICTION OF TEMPERATURE DISTRIBUTION ON CUTTING TOOL The main objective of this chapter is to predict the temperature distribution on a turning tool by using the following methods: Build

More information

Section 4: Thermal Oxidation. Jaeger Chapter 3

Section 4: Thermal Oxidation. Jaeger Chapter 3 Section 4: Thermal Oxidation Jaeger Chapter 3 Properties of O Thermal O is amorphous. Weight Density =.0 gm/cm 3 Molecular Density =.3E molecules/cm 3 O Crystalline O [Quartz] =.65 gm/cm 3 (1) Excellent

More information

CMPUG Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology. July 11, Michael Mills, PhD Fellow

CMPUG Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology. July 11, Michael Mills, PhD Fellow July 11, 2018 Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology Michael Mills, PhD Fellow. Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology 1)

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

Electrochemical control of thermal conductivity in thin films

Electrochemical control of thermal conductivity in thin films Electrochemical control of thermal conductivity in thin films David G. Cahill, Jiung Cho, and Paul V. Braun Department of Materials Science and Engineering, Materials Research Laboratory, University of

More information

EE C245 ME C218 Introduction to MEMS Design

EE C245 ME C218 Introduction to MEMS Design EE C245 ME C218 Introduction to MEMS Design Fall 2007 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture 10: Surface

More information

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation Micro-Electro-Mechanical Systems (MEMS) Fabrication Fabrication Considerations Stress-Strain, Thin-film Stress, Stiction Special Process Modules for MEMS Bonding, Cavity Sealing, Deep RIE, Spatial forming

More information

Notable Trends in CMP: Past, Present and Future

Notable Trends in CMP: Past, Present and Future Notable Trends in CMP: Past, Present and Future Semiconductor International February 15 th, 2007 Pete Singer Editor-in-Chief Levitronix CMP Users Conference 2007 April 1988: Etchback, SOG November 1990:

More information

Asphalt Pavement Aging and Temperature Dependent Properties through a Functionally Graded Viscoelastic Model, Part-II: Applications

Asphalt Pavement Aging and Temperature Dependent Properties through a Functionally Graded Viscoelastic Model, Part-II: Applications Materials Science Forum Vols. 631-632 (2010) pp 53-58 (2010) Trans Tech Publications, Switzerland doi:10.4028/www.scientific.net/msf.631-632.53 Asphalt Pavement Aging and Temperature Dependent Properties

More information

Silicon Wafer Processing PAKAGING AND TEST

Silicon Wafer Processing PAKAGING AND TEST Silicon Wafer Processing PAKAGING AND TEST Parametrical test using test structures regularly distributed in the wafer Wafer die test marking defective dies dies separation die fixing (not marked as defective)

More information

The flow-field pattern Optimization of the Bipolar Plate for PEMFC Considering the Nonlinear Material

The flow-field pattern Optimization of the Bipolar Plate for PEMFC Considering the Nonlinear Material Int. J. Electrochem. Sci., 10 (2015) 2564-2579 International Journal of ELECTROCHEMICAL SCIENCE www.electrochemsci.org The flow-field pattern Optimization of the Bipolar Plate for PEMFC Considering the

More information

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University Introduction

More information

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance SMC Korea May 18, 2016 CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance Marty W. DeGroot Global R&D Director, CMP Technologies, The Dow Chemical Company Key

More information

SIMULATION OF THE DEPOSITION OF BCC METALS INCLUDING ANISTROPIC EFFECTS USING 3D-Films

SIMULATION OF THE DEPOSITION OF BCC METALS INCLUDING ANISTROPIC EFFECTS USING 3D-Films SIMULATION OF THE DEPOSITION OF BCC METALS INCLUDING ANISTROPIC EFFECTS USING 3D-Films T. Smy and R.V. Joshi 1 Dept. of Electronics, Carleton University, Ottawa, ON, Canada K1S 5B6, ph: 613-520-3967, fax:

More information

Development of different copper seed layers with respect to the copper electroplating process

Development of different copper seed layers with respect to the copper electroplating process Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel,

More information

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Seung Wook Yoon, Yaojian Lin and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 E-mail

More information

SI GUIDE. File Name: Supplementary Information Description: Supplementary Figures, Supplementary Notes and Supplementary References.

SI GUIDE. File Name: Supplementary Information Description: Supplementary Figures, Supplementary Notes and Supplementary References. SI GUIDE File Name: Supplementary Information Description: Supplementary Figures, Supplementary Notes and Supplementary References. File Name: Supplementary Movie 1 Description: (the movie from which Figs.

More information

Epitaxial Growth of Low Defect SiGe Buffer Layers for Integration of New Materials on 300 mm Silicon Wafers. Semicon Europa 2017

Epitaxial Growth of Low Defect SiGe Buffer Layers for Integration of New Materials on 300 mm Silicon Wafers. Semicon Europa 2017 Layers for Integration of New Materials on 300 mm Silicon Wafers Peter Storck Semicon Europa 2017 Integration of new Materials is Part of the Logic Roadmap CMOS logic evolves from planar to FinFET and

More information