Use of Spectrograph-based OES for SiN Etch Selectivity and Endpoint Optimization

Size: px
Start display at page:

Download "Use of Spectrograph-based OES for SiN Etch Selectivity and Endpoint Optimization"

Transcription

1 Use of Spectrograph-based OES for SiN Etch Selectivity and Endpoint Optimization F. G. Celii and C. Huffman Texas Instruments, Inc., Dallas, TX, USA J. Hosch* and K. Harvey Verity Instruments, Carrollton, TX, USA *Presenter 1

2 Goals of the Project Develop a new process for etching SiN NO + F are active etch species in SiN etch Kastenmeier, Matsuo and Oehrlein, J. Vac. Sci. Technol., A17 (1999) 3179 Use N2 + O2 with CH2F2 in Ar to generate NO in the chamber Determine optimum gas mix for rapid etch Use OES to monitor NO concentration Use OES to detect endpoint 2

3 Ar AND Ar/N 2 PLASMA OES (v',v") = ER42B, Ar or Ar/N2, 500 W N 2 (C 3 Πu B 3 Πg) N2 (D 3 Σ u + B 3 Πg) Ar/N 2 Ar OES Intensity (a.u.) (0,0) (0,1) (0,2) (0,3) (4,1) (3,1) (2,1) (1,2) (1,3) (1,4) (1,5) (3,0) (2,0) (1,0) (0,0) (0,1) (0,2) (0,3) N2 + (B 2 Σ u + X 2 Σ g + ) (2,3) (2,4) (2,5) Ar* Ar* x10 N2 (B 3 Πg A 3 Σ u + ) Wavelength (nm) 3

4 OES TRANSITIONS Emission Diagram v" C v' Energy (cm -1 ) A B X Molecular Spacing (A) 4

5 Ar AND Ar/O 2 PLASMA OES ER42B, Ar or Ar/O2, 500 W (considered O, O 2, O 2 +, SiO) OES Intensity (a.u.) CO (b 3 Σ a 3 Π) (0,0) (0,1) (0,2) (0,3) (0,4) Ar/O 2 (x3) Ar Wavelength (nm) 5

6 Ar/N 2 /O 2 PLASMA OES ER42B, N2-O2-Ar vs. binary mixtures, 500 W N 2 (C 3 Π u B 3 Π g ) Ar/N 2 OES Intensity (a.u.) NO (A 2 Σ + X 2 Π) (0,0) (0,1) (0,2) (0,3) (0,4) Ar/O 2 (x2) Ar/N 2 /O (2,0) (1,0) (1,2) (1,3) (1,4) (1,5) Wavelength (nm) 6

7 PLASMA OES DURING SiN ETCH OES COMPARISON OVER Si/SiN C 3 Π u B 3 Π g ) Si; Ar/N2/O2/CH2F2 SiN; Ar/N2/O2; x SiN; Ar/N2/O2/CH2F2; x2 OES Intensity (a.u.) NO (A 2 Σ + X 2 Π) Wavelength (nm) 7

8 CH 2 F 2 & CF 4 in Ar Etching Silicon CF (B 2 Ä X 2 ð) CF (A 2 X 2 ð) CF 2 (Strong Band Heads) 8

9 Spectrograph Resolution Effects on Spectra Extremely High Resolution * * The Identification of Molecular Spectra, R.W.B. Pearse and A.G Gaydon, Halsted Press, 1976 Simulated 1.7 nm Resolution 9

10 CH 2 F 2 & CF 4 in O 2 & Ar Etching Silicon CF (B 2 Ä X 2 ð) CF (A 2 X 2 ð) CF 2 (Strong Band Heads) 1 0

11 CH 2 F 4 & CF 4 in Ar Etching Photoresist CF (B 2 Ä X 2 ð) CF (A 2 X 2 ð) CF 2 (Strong Band Heads) 1 1

12 CH 2 F 2 & CF 4 in O 2 & Ar Etching Photoresist CF (B 2 Ä X 2 ð) CF (A 2 X 2 ð) CF 2 (Strong Band Heads) 1 2

13 CH CH2F2 & CF4 in O2 Ar Etching Protoresist 2 F 2 & CF 4 in O 2 & Ar Etching Photoresist OES Intensity (a.u.) (282.4 = O2 + ) (297.0 = O2 + ) (312.9 = C2) ( = O2 + ; = NO; = CF2) (308.9 = OH) ( = N2 +; = N2 + ) (=?) ( = F) ( =?) ( = F) (731.1 = F) ( = N2 +; = OH + ) ( = CN; = Ar; = Ar) ( = NO) (407.2 = Ar + ) ( = Ar) ( = Ar) (433.4 = Ar; = SiF) (451.1 = CO; = Ar) (476.5 = Ar) (486.1 = H) (488.0 = Ar + ) ( =?) ( = CO + ) ( = Ar; = O2 + ) ( = O2 + ) ( = Ar) ( = O2 + ) ( =?) ( = H; = H) ( = F) (727.3 = Ar) (738.4 = Ar; = N2) (772.4 = Ar) ( = O; = O; = O) (794.8 = Ar) (750.4 = N2) (763.5 = Ar) ( = Ar; =CN ) X Wavelength (nm) 1 3

14 nm Emission Lines of Species that Change Concentration at Endpoint W L Species Intensity W L Species Intensity W L Species Intensity W L Species Intensity CO CF O CF CO SiF SiF O CO SiF CO CF CO CO CF SiO Si CO N CO CO SiO NO CO CO CO CF CF CO SiF SiO SiO CO SiF CO NO Si NO O OH CO CO SiO OH CO CO CF OH CO CO SiF O NO SiF NO OH CO N CO CO CO SiF NO CF CO CF NO C CO CO CF NO NO CO CO CF CO CF O Si CO CO CO CO CO C CF CO NO NO N O CO SiO CO NO SiO CF SiO CF CO O CO SiF CO CO CF CO C NO NO CO CO CO CF O CF Si SiO CF CO CO CO N SiO Si O N N Si CF C CO CF NO SiF SiO Si CO O NO Si CO N CO Si CF CO SiF CO NO SiO NH SiO 6 1 4

15 Lack Of Fit NitrideER Blanket Etch Rate Results DOE with Power, CF 4, Flow 600 NitOxSelect N 2 /O 2 = 450/50 Pwr=300 W Press=200 mt ECHIP Pwr ECHIP Pwr Power CF4Flow 50 OxideER CF4Flow 50 ECHIP Power Pwr Power CF4 Flow CF4 Flow CF4 Flow 50 FG Celii & JW Hosch CF4Flow 8/10/01 1 5

16 Lack Of Fit NitrideER Blanket Etch Results DOE with Power, CH 2 F 2 Flow Lack Of Fit 600 NitOxSelect N 2 /O 2 = 450/50 Pwr=300 W Press=200 mt ECHIP Pwr ECHIP Pwr Power CH2F2Flow OxideER CH2F2Flow 50 ECHIP Pwr Power Power CH 2 F 2 Flow CH 2 F 2 Flow CH 2 F 2 Flow 50 Survey lower power CH2F2Flow 1 6

17 Blanket Etch Results: Survey of N 2 /O 2 Flow Blanket Nit/Ox Selectivity, Etch Rates Nitride ER Oxide ER Selectivity Etch Rate (A/min) CH 2 F 2 = 50 Pwr=300 W Press=200 mt Nit/Ox Etch Selectivity O2/(N2 + O2) Flow Ratio 1 7

18 Methods for Developing an Endpoint Detection Algorithm for a New Process The Plasma Process: Etch SiN stopping on silicide using CH 2 F 2 in Ar with O 2 Similar to other SiN etches Previous endpoint wavelength = 387 nm CN Difference Spectrum Ratio of Wavelengths NeuralPCA Multivariate Method 1 8

19 387 nm Emission of CN from Etching a Blanket Film of SiN on Silicide SMA Window Snap Shot Before Endpoint SMA Window Snap Shot After Endpoint Difference Spectrum = SS_After Endpoint - SS Before Endpoint 1 9

20 Regions Defined Using Difference Spectrum 2 0

21 Expanded View of Regions 2 1

22 Dominant Normalized Intensity Changes at Endpoint 2 2

23 Ratio Endpoint on Wafer Without Resist 2 3

24 Ratio Endpoint on Patterned Wafer 2 4

25 First NPCA Calibration Before Endpoint During After Endpoint Magnet Periods 2 5

26 Results of First NPCA Calibration Used on the Calibration Wafer Ratio NPCA Four Components of the Ratio 2 6

27 Second NPCA Calibration During Before Endpoint After Endpoint 2 7

28 Results Second NPCA Calibration Used on the Calibration Wafer Ratio NPCA Four Components of the Ratio 2 8

29 Comparison NPCA Calibration v.s. Ratio Endpoint Etching a Patterned Wafer Ratio NPCA Four Components of the Ratio 2 9

30 SRAM, center ENDPOINTED ETCH RESULTS x-sem OF PATTERNED WAFERS 39.5 s etch SRAM, edge Center not clear, Edge has cleared N 2 /O 2 = 300/200 CH 2 F 2 = W, 200 mt 3 0

31 Conclusions N 2 and O 2 in Ar with CH 2 F 2 do enhance the SiN etch rate. Flow ratios for maximum etch rate and maximum selectivity. Overlapping spectral lines may adversely affect tracking NO concentration using actinometry. More work is required. The NeuralPCA multivariate endpoint technique can accommodate unresolved spectra from closely spaced emission lines. NPCA is easier to implement than selected wavelength endpoint techniques. Anticipate NPCA endpoint sensitivity similar to SiO 2 contact etch. 3 1

LAM 490 Etch Recipes. Dr. Lynn Fuller

LAM 490 Etch Recipes. Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING LAM 490 Etch Recipes Dr. Lynn Fuller Professor, Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585) 475-2035 Fax (585) 475-5041

More information

RIE lag in diffractive optical element etching

RIE lag in diffractive optical element etching Microelectronic Engineering 54 (2000) 315 322 www.elsevier.nl/ locate/ mee RIE lag in diffractive optical element etching Jyh-Hua Ting *, Jung-Chieh Su, Shyang Su a, b a,c a National Nano Device Laboratories,

More information

Optical Emission Spectroscopy for Plasma Etch Endpoint Detection

Optical Emission Spectroscopy for Plasma Etch Endpoint Detection Optical Emission Spectroscopy for Plasma Etch Endpoint Detection Keith R. Miller Microelectronic Engineering Rochester Institute of Technology Rochester, NY 14623 Abstract- Optical Emission Spectroscopy

More information

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package The information contained herein is, as far as we are aware, true and accurate. However, no representations

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge R. Chanson a, E. Pargon a, M. Darnon a, C. Petit Etienne a, S. David a, M. Fouchier a, B. Glueck b, P. Brianceau

More information

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications Journal of ELECTRONIC MATERIALS, Vol. 31, No. 5, 2002 Special Issue Paper Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 9/13/2007 Fabrication Technology Lecture 1 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world)

More information

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing I N S T I T U T D E R E C H E R C H E T E C H N O L O G I Q U E Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H thermal annealing Erwine Pargon 1, Cyril

More information

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications UV5 POSITIVE DUV PHOTORESIST For DUV Applications DESCRIPTION UV5 positive DUV photo resist has been optimized to provide vertical profile imaging of isolated and semidense features for device production

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Hitachi Review Vol. 57 (2008), No. 3 127 MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices Tadashi Terasaki Masayuki Tomita Katsuhiko Yamamoto Unryu Ogawa, Dr. Eng. Yoshiki Yonamoto,

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Materials Transactions, Vol. 48, No. 5 (27) pp. 975 to 979 #27 The Japan Institute of Metals Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film Akira Heya 1, Naoto Matsuo 1, Tadashi Serikawa

More information

Thin. Smooth. Diamond.

Thin. Smooth. Diamond. UNCD Wafers Thin. Smooth. Diamond. UNCD Wafers - A Family of Diamond Material UNCD is Advanced Diamond Technologies (ADT) brand name for a family of thin fi lm diamond products. UNCD Aqua The Aqua series

More information

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Overview of CMP for TSV Applications Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA Outline TSV s and the Role of CMP TSV Pattern and Fill TSV Reveal (non-selective)

More information

Materials Characterization

Materials Characterization Materials Characterization C. R. Abernathy, B. Gila, K. Jones Cathodoluminescence (CL) system FEI Nova NanoSEM (FEG source) with: EDAX Apollo silicon drift detector (TE cooled) Gatan MonoCL3+ FEI SEM arrived

More information

IMP EPD End Point Detector

IMP EPD End Point Detector IMP EPD End Point Detector An overview of the Hiden Analytical SIMS end point detector system for ion beam etch applications IMP-EPD Presentation Topics The topics covered in the presentation include:

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Relation Between Internal Stress and Surface Roughness of Titanium Nitride Films Deposited by HCD Ion Plating

Relation Between Internal Stress and Surface Roughness of Titanium Nitride Films Deposited by HCD Ion Plating No.22,28 65 Relation Between Internal Stress and Surface Roughness of Titanium Nitride Films Deposited by HCD Ion Plating Itsuo Ishigami Ken-ichi Miura Hideaki Hoshino Tomoyuki Mizukoshi (28 6 17 ) An

More information

VLSI Technology. By: Ajay Kumar Gautam

VLSI Technology. By: Ajay Kumar Gautam By: Ajay Kumar Gautam Introduction to VLSI Technology, Crystal Growth, Oxidation, Epitaxial Process, Diffusion Process, Ion Implantation, Lithography, Etching, Metallization, VLSI Process Integration,

More information

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES Effective Cu Surface Pre-treatment for High-reliable 22nmnode Cu Dual Damascene Interconnects with High Plasma Resistant Ultra Low-k Dielectric (k=2.2) F. Ito 1, H. Shobha 2, M. Tagami 1, T. Nogami 2,

More information

Plasma Etching of Polycrystalline Silicon using Thinning Technology for Application in CMOS and MEMS Technologies

Plasma Etching of Polycrystalline Silicon using Thinning Technology for Application in CMOS and MEMS Technologies Plasma Etching of Polycrystalline Silicon using Thinning Technology for Application in CMOS and MEMS Technologies A. M. Nunes 1,2, S. A. Moshkalev 2, P. J. Tatsch 1,2 and A. M. Daltrini 2,* 1 School of

More information

University, Piscataway, New Jersey 08854, USA. Massachusetts 02138, USA

University, Piscataway, New Jersey 08854, USA. Massachusetts 02138, USA 10.1149/1.2779073 The Electrochemical Society In-situ FTIR Study of Atomic Layer Deposition (ALD) of Copper Metal Films Min Dai a, Jinhee Kwon a, Erik Langereis a, Leszek Wielunski a, Yves J. Chabal a

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Magnetron Sputter Cathodes planar & rotatable. Linear ion sources. Reactive gas controller & endpoint detector

Magnetron Sputter Cathodes planar & rotatable. Linear ion sources. Reactive gas controller & endpoint detector GENCOA products cover 3 sputtering related areas Magnetron Sputter Cathodes planar & rotatable Reactive gas controller & endpoint detector Linear ion sources Other activities include on-site process implementation,

More information

SILICON carbide (SiC) is one of the attractive wide band

SILICON carbide (SiC) is one of the attractive wide band 1362 IEEE TRANSACTIONS ON PLASMA SCIENCE, VOL. 32, NO. 3, JUNE 2004 Magnetically Enhanced Inductively Coupled Plasma Etching of 6H-SiC D. W. Kim, H. Y. Lee, S. J. Kyoung, H. S. Kim, Y. J. Sung, S. H. Chae,

More information

Micro/Nano Technology Center University of Louisville. Dry Etch Capabilities. NNCI Etch Workshop May 24-25, 2016

Micro/Nano Technology Center University of Louisville. Dry Etch Capabilities. NNCI Etch Workshop May 24-25, 2016 Micro/Nano Technology Center University of Louisville Dry Etch Capabilities NNCI Etch Workshop May 24-25, 2016 TRION METAL ETCHER MODEL: MINILOCK-PHANTOM III ICP/RIE Trion etcher uses 7 gasses for chemistry:

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

Plasma..TI'1eITI1 I.P.

Plasma..TI'1eITI1 I.P. Plasma..TI'1eITI1 I.P. RPPI..ICRTION NOTES PLASMA ETCHING OF SIUCON NITRIDE AND SIUCON DIOXIDE Silicon nitride and silicon dioxide thin films find e variety of uses in both semiconductor and nonsemiconductor

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania 1 EE 560 FABRICATION OF MOS CIRCUITS 2 CMOS CHIP MANUFACTRING STEPS Substrate Wafer Wafer Fabrication (diffusion, oxidation, photomasking, ion implantation, thin film deposition, etc.) Finished Wafer Wafer

More information

High-accuracy Etching System with Active APC Capability

High-accuracy Etching System with Active APC Capability High-accuracy Etching System with Active APC Capability 32 High-accuracy Etching System with Active APC Capability Shoji Ikuhara Akira Kagoshima Daisuke Shiraishi Junichi Tanaka OVERVIEW: Accompanying

More information

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon April 2009 A Deep Silicon RIE Primer 1.0) Etching: Silicon does not naturally etch anisotropically in fluorine based chemistries. Si

More information

On-line patterned wafer thickness control of chemical-mechanical polishing

On-line patterned wafer thickness control of chemical-mechanical polishing On-line patterned wafer thickness control of chemical-mechanical polishing Taber H. Smith a) MIT Microsystems Technology Laboratories, Cambridge, Massachusetts 02139 Simon J. Fang, Jerry A. Stefani, and

More information

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

4. Thermal Oxidation. a) Equipment Atmospheric Furnace 4. Thermal Oxidation a) Equipment Atmospheric Furnace Oxidation requires precise control of: temperature, T ambient gas, G time spent at any given T & G, t Vito Logiudice 34 4. Thermal Oxidation b) Mechanism

More information

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie SOI Micromachining Agenda: SOI Micromachining SOI MUMPs Multi-level structures Lecture 5 Silicon-on-Insulator Microstructures Single-crystal

More information

ABSTRACT 1. INTRODUCTION

ABSTRACT 1. INTRODUCTION Parameters Study to Improve Sidewall Roughness in Advanced Silicon Etch Process Hsiang-Chi Liu *, Yu-Hsin Lin **, Bruce C. S. Chou **, Yung-Yu Hsu **, Wensyang Hsu * * Department of Mechanical Engineering,

More information

EE 434 Lecture 9. IC Fabrication Technology

EE 434 Lecture 9. IC Fabrication Technology EE 434 Lecture 9 IC Fabrication Technology Quiz 7 The layout of a film resistor with electrodes A and B is shown. If the sheet resistance of the film is 40 /, determine the resistance between nodes A and

More information

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application ABSTRACT D. A. P. Bulla and N. I. Morimoto Laboratório de Sistemas Integráveis da EPUSP São Paulo - S.P. -

More information

Etching Mask Properties of Diamond-Like Carbon Films

Etching Mask Properties of Diamond-Like Carbon Films N. New Nawachi Diamond et al. and Frontier Carbon Technology 13 Vol. 15, No. 1 2005 MYU Tokyo NDFCT 470 Etching Mask Properties of Diamond-Like Carbon Films Norio Nawachi *, Akira Yamamoto, Takahiro Tsutsumoto

More information

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides

Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Precursors with Metal-Nitrogen Bonds for ALD of Metals, Nitrides and Oxides Abstract Roy Gordon Gordon@chemistry.harvard.edu, Cambridge, MA To achieve ALD s unique characteristics, ALD precursors must

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

CMOS Manufacturing Process

CMOS Manufacturing Process CMOS Manufacturing Process CMOS Process A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten SiO 2 n+ p-well p-epi poly n-well p+ SiO 2 p+ Dual-Well Trench-Isolated CMOS Process Circuit Under Design V

More information

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre Energy Efficient Glazing Design John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre 2 John Ridealgh 30th November 2009 Talk Outline Pilkington Group Limited & NSG Group

More information

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond Hitachi Review Vol. 55 (2006), No. 2 83 Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond Takashi Tsutsumi Masanori Kadotani Go Saito Masahito Mori OVERVIEW: In regard to

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

micro resist technology

micro resist technology Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference between 2 materials Need strong selectivity from masking

More information

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features DELIVERABLE REPORT WP7 JRA2 JRA2 Research on High Precision Manufacturing D7.1 Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features M18 NFFA-Europe has received funding

More information

300mm Wafer Stain Formation by Spin Etching

300mm Wafer Stain Formation by Spin Etching 10.1149/1.2980313 The Electrochemical Society 300mm Wafer Stain Formation by Spin Etching K. Sato a, S. Mashimoto a, and M. Watanabe a a Process Development, SEZ Japan, Inc., Hongo, Bunkyo-ku 1130033,

More information

Midterm evaluations. Nov. 9, J/3.155J 1

Midterm evaluations. Nov. 9, J/3.155J 1 Midterm evaluations What learning activities were found most helpful Example problems, case studies (5); graphs (good for extracting useful info) (4); Good interaction (2); Good lecture notes, slides (2);

More information

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization

Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Mat. Res. Soc. Symp. Proc. Vol. 715 2002 Materials Research Society Large-Grain Polysilicon Films with Low Intragranular Defect Density by Low- Temperature Solid-Phase Crystallization Xiang-Zheng Bo, Nan

More information

ALD systems and SENTECH Instruments GmbH

ALD systems and SENTECH Instruments GmbH ALD systems and processes @ SENTECH Instruments GmbH H. Gargouri, F. Naumann, R. Rudolph and M. Arens SENTECH Instruments GmbH, Berlin www.sentech.de 1 2 Agenda 1. Company Introduction 2. SENTECH-ALD-Systems

More information

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD) Ciprian Iliescu Conţinutul acestui material nu reprezintă in mod obligatoriu poziţia oficială a Uniunii Europene sau a

More information

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Mat. Res. Soc. Symp. Proc. Vol. 686 2002 Materials Research Society Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation Jae-Hoon Song, Duck-Kyun Choi

More information

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Dow Corning WL-5150 Photodefinable Spin-On Silicone Dow Corning WL-515 Photodefinable Spin-On Silicone Properties and Processing Procedures Introduction Dow Corning WL-515 is a silicone formulation which can be photopatterned and cured using standard microelectronics

More information

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high vacuum ~10-7 torr Removes residual gases eg oxygen from

More information

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out 57 Chapter 3 Fabrication of Accelerometer 3.1 Introduction There are basically two approaches for bulk micromachining of silicon, wet and dry. Wet bulk micromachining is usually carried out using anisotropic

More information

Blisters formation mechanism during High Dose Implanted Resist Stripping

Blisters formation mechanism during High Dose Implanted Resist Stripping Blisters formation mechanism during High Dose Implanted Resist Stripping Marion Croisy a,b,c*, Cécile Jenny a, Claire Richard a, Denis Guiheux a, Sylvain Joblot a, Alain Campo b, Erwine Pargon c, Nicolas

More information

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2

SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 27th IEEE International Conference on Plasma Science New Orleans, Louisiana June 4-7, 2000 SURFACE AND GAS PHASE REACTIONS FOR FLUOROCARBON PLASMA ETCHING OF SiO 2 Da Zhang* and Mark J. Kushner** *Department

More information

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm) 4 Silicon Temperature Sensors 4.1 Introduction The KTY temperature sensor developed by Infineon Technologies is based on the principle of the Spreading Resistance. The expression Spreading Resistance derives

More information

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor Manabu Shimada, 1 Kikuo Okuyama, 1 Yutaka Hayashi, 1 Heru Setyawan, 2 and Nobuki Kashihara 2 1 Department

More information

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects EE 330 Lecture 9 IC Fabrication Technology Part II -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects Review from Last Time Etching Dry etch (anisotropic) SiO

More information

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Processing guidelines. Negative Tone Photoresist Series ma-n 2400 Characteristics Processing guidelines Negative Tone Photoresist Series ma-n 2400 ma-n 2400 is a negative tone photoresist series designed for the use in micro- and nanoelectronics. The resists are available

More information

FABRICATION of MOSFETs

FABRICATION of MOSFETs FABRICATION of MOSFETs CMOS fabrication sequence -p-type silicon substrate wafer -creation of n-well regions for pmos transistors, -impurity implantation into the substrate. -thick oxide is grown in the

More information

Process Flow in Cross Sections

Process Flow in Cross Sections Process Flow in Cross Sections Process (simplified) 0. Clean wafer in nasty acids (HF, HNO 3, H 2 SO 4,...) --> wear gloves! 1. Grow 500 nm of SiO 2 (by putting the wafer in a furnace with O 2 2. Coat

More information

Manufacturing Process

Manufacturing Process Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Manufacturing Process July 30, 2002 1 CMOS Process 2 A Modern CMOS Process gate-oxide TiSi 2 AlCu Tungsten

More information

Formation of and Light Emission from Si nanocrystals Embedded in Amorphous Silicon Oxides

Formation of and Light Emission from Si nanocrystals Embedded in Amorphous Silicon Oxides 10.1149/1.2392914, copyright The Electrochemical Society Formation of and Light Emission from Si nanocrystals Embedded in Amorphous Silicon Oxides D. Comedi a, O. H. Y. Zalloum b, D. E. Blakie b, J. Wojcik

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications Philip D. Rack,, Jason D. Fowlkes, and Yuepeng Deng Department of Materials Science and Engineering University

More information

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts) 6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term 2007 By Brian Taff (Adapted from work by Feras Eid) Solution to Problem Set 2 (16 pts) Issued: Lecture 4 Due: Lecture

More information

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE K. Saga, H. Kuniyasu, and T. Hattori, M. B. Korzenski*, P.M. Visintin*, T. H. Baum* Sony Corporation Atsugi 243-8585 JAPAN Advanced

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Simple method for formation of nanometer scale holes in membranes T. Schenkel 1, E. A. Stach, V. Radmilovic, S.-J. Park, and A. Persaud E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 When

More information

Lecture 22: Integrated circuit fabrication

Lecture 22: Integrated circuit fabrication Lecture 22: Integrated circuit fabrication Contents 1 Introduction 1 2 Layering 4 3 Patterning 7 4 Doping 8 4.1 Thermal diffusion......................... 10 4.2 Ion implantation.........................

More information

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes

Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Advanced Sensor Fabrication Using Integrated Ion Beam Etch and Ion Beam Deposition Processes Jhon F. Londoño, Kurt E. Williams, Adrian J. Devasahayam Veeco Instruments Inc. Plainview, New York U.S.A Figure

More information

Fuel Leak Simulation

Fuel Leak Simulation Fuel Leak Simulation Dr. Michael R. Swain University of Miami Coral Cables, FL 33124 Abstract This work effort was conducted to serve two purposes. The first was to produce a video comparing the severity

More information

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in Chapter 5 PROCESSING OF DEVICES A discussion of crystal growth, lithography, etching, doping, and device structures is presented in the following overview gures. SEMICONDUCTOR DEVICE PROCESSING: AN OVERVIEW

More information

5.8 Diaphragm Uniaxial Optical Accelerometer

5.8 Diaphragm Uniaxial Optical Accelerometer 5.8 Diaphragm Uniaxial Optical Accelerometer Optical accelerometers are based on the BESOI (Bond and Etch back Silicon On Insulator) wafers, supplied by Shin-Etsu with (100) orientation, 4 diameter and

More information

Cluster Ion Imaging of the Paris Meteorite

Cluster Ion Imaging of the Paris Meteorite Cluster Ion Imaging of the Paris Meteorite M. Noun 1,2, B. Nsouli 2, D. Baklouti 3, R. Brunetto 3, L. d Hendecourt 3 and S. Della-Negra 1 1 : Institut de Physique Nucléaire d Orsay, Université Paris Sud

More information

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

Rapid Thermal Processing (RTP) Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Rapid Thermal Processing (RTP) Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Tel (585)

More information

Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers

Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers Preparation and Characterization of Micro-Crystalline Hydrogenated Silicon Carbide p-layers Erten Eser, Steven S. Hegedus and Wayne A. Buchanan Institute of Energy Conversion University of Delaware, Newark,

More information

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing ELEC 3908, Physical Electronics, Lecture 4 Basic Integrated Circuit Processing Lecture Outline Details of the physical structure of devices will be very important in developing models for electrical behavior

More information

Impurity free vacancy disordering of InGaAs quantum dots

Impurity free vacancy disordering of InGaAs quantum dots JOURNAL OF APPLIED PHYSICS VOLUME 96, NUMBER 12 15 DECEMBER 2004 Impurity free vacancy disordering of InGaAs quantum dots P. Lever, H. H. Tan, and C. Jagadish Department of Electronic Materials Engineering,

More information

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance Metallization Interconnects Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance RC time delay Inter-Metal Dielectric -Prefer low dielectric constant to reduce capacitance

More information

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES 10.1149/1.2794473, The Electrochemical Society PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES S. Yim a, C. Bonhôte b, J. Lille b, and T. Wu b a Dept. of Chem. and Mat. Engr., San

More information

TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE

TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE TEM Study of the Morphology Of GaN/SiC (0001) Grown at Various Temperatures by MBE W.L. Sarney 1, L. Salamanca-Riba 1, V. Ramachandran 2, R.M Feenstra 2, D.W. Greve 3 1 Dept. of Materials & Nuclear Engineering,

More information

Thermal Nanoimprinting Basics

Thermal Nanoimprinting Basics Thermal Nanoimprinting Basics Nanoimprinting is a way to replicate nanoscale features on one surface into another, like stamping copies are made by traditional fabrication techniques (optical/ebeam lith)

More information

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics H. Huang 1, J. Bao 1, H. Shi 1, P. S. Ho 1, M L McSwiney 2, M D Goodner 2, M Moinpour 2, and G M Kloster 2 1 Laboratory for

More information

Template fabrication schemes for step and flash imprint lithography

Template fabrication schemes for step and flash imprint lithography Microelectronic Engineering 61 6 (00) 461 467 www.elsevier.com/ locate/ mee Template fabrication schemes for step and flash imprint lithography * E. Ainley, A. Talin, K. Gehoski, J.H. Baker, B.J. Choi,

More information

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts* Raymond R. Jin, Jeffrey David, Bob Abbassi, Tom Osterheld, Fritz Redeker Applied Materials, 3111 Coronado Drive, M/S

More information

Procedure to deposit Gold only on the sidewalls of rectangular nanostructures and its applications

Procedure to deposit Gold only on the sidewalls of rectangular nanostructures and its applications Procedure to deposit Gold only on the sidewalls of rectangular nanostructures and its applications Zain Zaidi, Saara Khan, James Conway, J Provine, Michelle Rincon, Roger Howe Stanford University, USA

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z Oxidation www.halbleiter.org Contents Contents List of Figures List of Tables II III 1 Oxidation 1 1.1 Overview..................................... 1 1.1.1 Application...............................

More information