AS MOORE predicted in 1965, silicon chips are getting

Size: px
Start display at page:

Download "AS MOORE predicted in 1965, silicon chips are getting"

Transcription

1 IEEE TRANSACTIONS ON ADVANCED PACKAGING 1 Nonlinear Thermal Stress/Strain Analyses of Copper Filled TSV (Through Silicon Via) and Their Flip-Chip Microbumps Cheryl S. Selvanayagam, John H. Lau, Fellow, IEEE, Xiaowu Zhang, S. K. W. Seah, Kripesh Vaidyanathan, and T. C. Chai Abstract Most TSVs are filled with copper; siliconpoly and tungsten are the alternatives. The coefficient of thermal expansion (CTE) of copper ( C) is a few times higher than that of silicon ( C). Thus, when the copper filled through silicon via (TSV) is subjected to temperature loadings, there is a very large local thermal expansion mismatch between the copper and the silicon/dielectric (e.g., SiO 2 ), which will create very large stresses and strains at the interfaces between the copper and the silicon and between the copper and the dielectric. These stresses/strains can be high enough to introduce delamination between the interfaces. In this paper, the nonlinear thermal stresses and strains at the interfaces between the copper, silicon, and dielectric have been determined for a wide-range of aspect ratios (of the silicon thickness and the TSV diameter). One of the major applications of TSV is as an interposer. Because of Moore s (scaling/integration) law, the silicon chip is getting bigger, the pin-out is getting higher, and the pitch is getting finer. Thus, the conventional substrates, e.g., BT (bismaleimide triazine) cannot support these kinds of silicon chips anymore and a silicon interposer (substrate) is needed to redistribute the very fine-pitch and high pin-count pads on the chip to much larger pitch and less pin-count through the silicon vias on the silicon substrate. Depending on the via-size and pitch of the copper filled TSV, the effective CTE of the copper filled TSV interposer could be as high as C. Consequently, the global thermal expansion mismatch between the silicon chip and the copper filled TSV substrate can be very large and the bumps (usually very small, e.g., microbumps) between them may not be able to survive under thermal conditions. In this study, the nonlinear stresses and strains in the microbumps between the silicon chip and copper filled TSV interposer (with and without underfills) have been determined for a wide-range of via sizes and pitches, and various temperature conditions. These results should be useful for 1) making a decision if underfill is necessary for the reliability of microbumps and 2) selecting underfill materials to minimize the stresses and strains in the microbumps. Index Terms Copper, modeling, strain, stress. Manuscript received April 02, 2008; revised March 18, This paper was recommended for publication by Associate Editor A. Chandra upon evaluation of the reviewers comments. The authors are with the Institute of Microelectronics, Agency for Science, Technology, and Research (A*STAR), Singapore ( cheryls@ime.a-star.edu.sg). Color versions of one or more of the figures in this paper are available online at Digital Object Identifier /TADVP Fig. 1. Package incorporating TSV interposer. Inset shows details of TSV. I. INTRODUCTION AS MOORE predicted in 1965, silicon chips are getting larger while incorporating a higher pin count and smaller bump pitch. Unfortunately, conventional substrates, e.g., BT (bismaleimide triazine) cannot support these fine-pitched silicon chips. Hence, there is a need for an intermediate substrate to redistribute the large array of fine-pitched pads on the chip to fewer, relatively large-pitched pads on the BT substrate. One of the major applications of the through silicon vias on silicon substrate is to serve as this intermediate substrate. One such package structure with a detailed schematic of the through silicon via (TSV) is shown in Fig. 1. The fabrication steps which subject it to various temperature cycles coupled with the large difference in coefficients of thermal expansion of copper and silicon results in a TSV which is susceptible to ring cracking and delamination. The other application for the TSV is for use in chip stacking as the interconnect length is reduced compared to perimeter wire bonding. Several authors have turned to finite element modeling for insight on the stress state in a filled TSV. While some authors were concerned with the stresses induced by the different processes involved in making a TSV, (i.e., etching, coating, plating, etc.) [1], [2], others focused on the materials [1], [3] or design aspects [4] of the TSV. By far, the most comprehensive study on the stress state in a TSV was carried out by Takana et al. [5] who investigated not only the effect of via size on thermal mismatch, but also possible cracking due to loading force during the stacking process and bump reliability under thermal fatigue. Unfortunately, these papers focus on the mechanical reliability of specific designs and do not provide any design guidelines for the design of reliable TSVs. This paper will provide these design guidelines by first assessing and analyzing the stress state /$ IEEE

2 2 IEEE TRANSACTIONS ON ADVANCED PACKAGING of a single TSV to determine the main contributor of failure at a region localized around the via. Subsequently, the accumulated effect of the localized thermal strains around each TSV (i.e., the global effect), which results in mismatch, on a larger scale, between the interposer and chip, will be analyzed. The software ABAQUS version was used for all the simulations carried out in this paper. II. LOCAL THERMAL EXPANSION MISMATCH Mechanical modeling of any structure for the electronics industry requires knowledge of the final service conditions and accurate material properties. Certain aspects of the structure such as complex geometry and thin compliant layers can be simplified or assumed negligible to reduce computational time without significantly affecting the results. In the TSV, the presence of materials with different coefficients of thermal expansion (CTE) will induce thermal mismatch stresses and strains during temperature cycling. In order to assess the possibility of failure, the following models were analyzed. First, a simple axi-symmetric model of silicon with a core filled with copper was considered. This was followed by a model of silicon with a core plated with a thin layer of copper instead of completely filled. The effect of the redistribution layer (RDL) was then taken into account for both the above-mentioned cases to assess its reliability. Finally, a diagonal slice model of a chip mounted onto a silicon interposer with TSV was used to determine the effect of the presence of TSV to the reliability of the corner microbump during temperature cycling. A. Modeling of Copper-Filled TSV For determining thermal stress in a TSV, the following assumptions were made. 1) Since the layer of tantalum (1 k ) is much thinner than the dielectric layer (1 m), its effect is negligible. 2) The redistribution layer is very thin and therefore negligible. 3) Silicon and silicon oxide are not strained beyond their elastic zone. 4) Copper undergoes elastic deformation, followed by plastic deformation. 5) The silicon interposer with TSV is stress-free at 125 C. The simplified diagram of the TSV based on the above assumptions is shown in Fig. 2(a). One quarter of this was modeled in an axi-symmetric simulation. The boundary conditions used and the mesh at the critical interfaces are shown in Fig. 2(b) and (c), respectively. Note that directions 1 and 2 the radial and axial directions, respectively. A static temperature ramp down analysis from 125 Cto C was carried out to simulate the maximum strained state. The modeling matrix and material properties used are shown in Tables I and II. During the temperature cycling of the TSV, each temperature ramp up results in copper expanding more than 5 times as much as silicon and more than 10 times as much as silicon oxide. The deformation resulting from heating from C to 125 C and cooling from 125 Cto C exaggerated 100 times is shown in Fig. 3(a) and (b) for better visualization of what happens. From Fig. 3(a), it is clear that the silicon oxide layer is Fig. 2. (a) Diagram of simplified TSV. (b) Quarter model of TSV with applied boundary conditions. (c) Mesh of critical region. TABLE I MODELING MATRIX TABLE II MATERIAL PROPERTIES highly strained as it is dragged along by the axial expansion of the copper and compressed by the radial expansion of copper. This strain however is largely not transferred to the bulk of the silicon, as silicon is much stiffer than copper and silicon oxide. Conversely, during temperature ramping down also a high strain is induced on the material near the interface indicated by points A and B. There are two critical points where failure may occur. Firstly, failure could occur due to the tearing action during contraction, at the interface between copper and silicon oxide [points A and B in Fig. 2(c)]. Secondly, cracking of copper or silicon oxide could occur at the midplane of the TSV [points C and D in Fig. 2(c)]. For the simulations carried out, the radial stress at

3 SELVANAYAGAM et al.: NONLINEAR THERMAL STRESS/STRAIN ANALYSES OF COPPER FILLED TSV 3 Fig. 4. Radial stress ( ) at critical corner for (a) copper (point A) and (b) silicon oxide (point B) in filled vias. Fig. 3. Deformation in TSV exaggerated points A and B, and the axial strains at C and D were monitored to give an indication of the stress and strains causing delamination and cracking in those regions. The radial stresses in the copper and silicon oxide at the critical corner plotted against the diameter of the via for different aspect ratios are shown in Fig. 4. It is observed that the radial stress increases linearly with the diameter of the via, with a steeper increase in vias with larger aspect ratios. This is indicated by the slopes of the graphs marked on Fig. 4. Though a larger diameter of copper via induces a similar thermal strain, the deformation in copper is increased, resulting in a larger strain and therefore larger stresses. The stress in silicon oxide is greater than that in copper for all cases due to its higher elastic modulus. In addition, the change in stress due to varying of aspect ratio effect is most evident in vias with smaller diameters approximately 15% increase in radial stress is observed in a via of m compared to 2% increase for m. The axial strains at points C and D in copper and silicon oxide are plotted on the graphs in Fig. 5. As expected due to their close proximity, the strains in copper and silicon oxide are identical for all aspect ratios and diameters. At an aspect ratio of 1, the axial strains are largest. As the aspect ratio increases, the strain decays. Beyond an aspect ratio of 5, axial strain is no longer dependant on aspect ratio. As the axial strain values are below the elongation values of copper and silicon oxide obtained from literature to be 2% [6] and 30% [7], respectively, cracking on the mid-plane is not expected. The widened range of radial stress in the case of aspect ratio of 1 (Fig. 4) and the convergence of axial strain beyond an as- Fig. 5. Axial strain (" filled vias. ) at mid-plane for (a) copper and (b) silicon oxide in pect ratio of 5 (Fig. 5) are caused by the proximity of the free edge on top of the via to the fixed edges (the axis and the midplane) which constraints the deformation. Fig. 6 shows the axial strain contours of vias of various aspect ratios. Vias with an aspect ratio larger than 7 experience large strains at the top of the via, instead of more distributed strains seen in vias with smaller aspect ratios. B. Modeling of Unfilled TSV In addition, the case of an unfilled TSV was also modeled in order to assess its mechanical behavior in comparison with

4 4 IEEE TRANSACTIONS ON ADVANCED PACKAGING Fig. 7. (a) Diagram of TSV not filled with copper. (b) Quarter model of TSV with applied boundary conditions. (c) Mesh of critical region. Fig. 6. Axial strain (" ratios. ) contours of filled TSV of D=25at various aspect a filled via. The diagram, finite element model and mesh for this unfilled via is shown in Fig. 7(a), (b), and (c), respectively. The modeling matrix and material properties used are shown in Tables I and II. Note that the thickness of the copper layer modeled is 3 m for all cases. In the case of the unfilled via, the relationship between the diameter and the radial stress is no longer linear as shown in Fig. 8. It is observed that radial stress is highly dependant on via diameter. The little difference in radial stress between the extreme aspect ratios at the smaller diameter (5%) is reduced to almost 0% in the case of the larger diameters in both copper and silicon oxide. Radial stress increases linearly with increasing diameter in filled vias (Fig. 4). In unfilled vias, however, an opposite trend is observed. This is because in the filled via, as copper contracts it pulls the silicon oxide and silicon, which contract less, inwards. A larger via diameter with its larger volume of copper results a larger thermal mismatch and hence a higher state of stress. In the unfilled via, the copper is unconstrained on the inner surface of the via. As a result, the copper is free to move inward to release the normal stress. In the unfilled via, there are two mechanisms at work to decrease the stress state decreased volume of copper and unconstrained surface area. It was found that the ratio of these parameters has a linear Fig. 8. Radial stress ( ) at critical corner for (a) copper (point A) and (b) silicon oxide (point B) in unfilled vias. (c) Linear relationship with (R 0 r )=2r. relationship with the radial stress in the unfilled via as shown in Fig. 8(c). A large reduction in stress results when replacing a filled via with an unfilled one. For the same aspect ratio and diameter,

5 SELVANAYAGAM et al.: NONLINEAR THERMAL STRESS/STRAIN ANALYSES OF COPPER FILLED TSV 5 Fig. 9. Axial strain (" ) at mid-plane for (a) copper (point C) and (b) silicon oxide (point D) in unfilled vias. substituting the filled via with the unfilled one can reduce the radial stress by 1.8 to 4.4 times in copper and by 1.8 to 2.4 times in silicon oxide. The largest reductions in stress were found to occur in larger vias of smaller aspect ratios. The reduction in axial strain in the unfilled vias compared to the filled ones is most pronounced at smaller aspect ratios (shown in Fig. 9). At these aspect ratios, smaller diameters have larger strains. The axial strain contours shown in Fig. 10 indicate that the larger diameters have larger length of silicon to constrain the copper contraction. C. Modeling Details of TSV With Redistribution Layer In TSV fabrication, once the via is made by deep reactive ion etching (DRIE), a thin passivation layer of silicon oxide is deposited on the silicon at high temperature. This is followed by via filling through electroplating. Next the wafer undergoes chemical mechanical polishing (CMP) and another thin passivation layer of silicon oxide is then deposited at high temperature. The copper in the RDL is then sputtered at room temperature. Finally, the entire structure undergoes annealing to remove any residual stress induced by the deposition processes. As a result, residual stress is assumed negligible in this modeling work. The redistribution layer in the model would simulate the real situation to a larger extent as it would include the possibility of cracking of the RDL. Hence, its effect in both the filled and unfilled via was studied. A schematic drawing of the cross-section of the filled via, the one-quarter finite element model and the mesh at the critical location in shown in Fig. 11. The unfilled via with RDL model is simply the model in Fig. 7 with an RDL layer. For the model including the RDL layer, failure is expected to occur in the RDL layer at a 45 from the surface of the copper via. Hence, the strain along directions 1 and 2 have been resolved to determine the diagonal strain denoted by along the Fig. 10. Axial strain (" ) contours showing undeformed and deformed states in copper of unfilled TSV for H=D =1. Fig. 11. (a) Diagram of simplified TSV with RDL. (b) Quarter model of TSV with applied boundary conditions. (c) Mesh of critical region.

6 6 IEEE TRANSACTIONS ON ADVANCED PACKAGING Fig. 12. Diagonal strain (" = " ) at critical location for (a) copper (point A) and (b) silicon oxide (point B) in filled vias with RDL. Fig. 13. Diagonal strain (" = " ) at critical location for (a) copper (point A) and (b) silicon oxide (point B) in unfilled vias with RDL. -direction (indicated in Fig. 11) was calculated using (1). At, there is no cosine term The diagonal strain at point A and B are plotted in Fig. 12 for the filled via and Fig. 13 for the unfilled via. As shown in the figure, there is a linear relationship between the diagonal strain and the diameter of the via. As expected, the strain in the copper is lower than that in the silicon oxide. In the unfilled via with the RDL, diagonal strains decrease with diameter. This is because the ratio of t/d is smaller resulting in relatively less expansion in copper. The axial strain at point C for the filled and unfilled vias is shown in Fig. 14 for the model with RDL. The axial strain curves at point D are not shown as they had identical axial strains as the copper. Note that these curves are very similar to those in Fig. 5(a) and Fig. 9(a), which show the axial strains in copper in the model without the RDL. Hence, for the purpose of determining axial strain, the RDL may be ignored. III. GLOBAL THERMAL EXPANSION MISMATCH (1) A. Modeling Solder Ball Fatigue due to Global Thermal Mismatch The effective CTE of the silicon interposer is higher when it contains copper in the form of filled TSVs. As a result, on temperature cycling, the interposer will expand and contract more than the chip, resulting in a fatigue loading on the solder joint. The effect of this global mismatch on the reliability of the solder bump was investigated in the following simulations. Fig. 14. Axial strain (" ) at mid-plane for copper (point C) in (a) filled and (b) unfilled models with RDL. The global model consisting of a chip assembled onto a silicon interposer containing TSVs was made to undergo three cycles of temperature cycling between C to 125 C. A diagonal

7 SELVANAYAGAM et al.: NONLINEAR THERMAL STRESS/STRAIN ANALYSES OF COPPER FILLED TSV 7 Fig. 16. (H). Creep strain energy density per cycle variation with interposer height Fig. 15. (a) Diagram of global model. (b) Diagonal slice model with applied boundary conditions. (c) Mesh of critical region. Fig. 17. Creep strain energy densities for three interposer heights. TABLE III MODELING MATRIX The creep properties of 95.5Sn3.8Ag0.7Cu solder were assigned using the Garofalo-Arrhenius creep law shown below where the material constant A was s, B was 0.037E-6 Pa, n was 5.1 and Q was 54.3 kj/mol [8] (2) TABLE IV MATERIAL PROPERTIES slice of this model was considered for computational efficiency. A schematic diagram of the model, the applied boundary conditions and the mesh of the critical region are shown in Fig. 15. The modeling matrix, material properties of copper and solder are shown in Tables III and IV, respectively. Results of the analysis indicate that as the height of the interposer increases, the creep strain energy density in the solder increase as shown in Fig. 16. For interposer heights of , the maximum strain energy density occurs at the top of the solder joint. On the other hand, for interposer heights of , the maximum strain energy occurs at the bottom surface of the solder joint. Maximum strain energy position is transferred to the bottom when the expansion of the interposer begins to exert a shearing load on the solder. This effect can be seen in Fig. 17 where the solder joint on the shortest interposer has much reduced strain energy density at its bottom surface. The use of underfill reduces the inelastic strain energy density by 4 times. Increasing the pitch results in a decreasing of the creep strain energy density as shown in Fig. 18. This is a result of the decreased copper content and hence decreased mismatch between the silicon chip and the silicon interposer with TSVs. Fig. 19 shows the creep strain energy for two different pitches decreases to almost the similar values when used with underfill.

8 8 IEEE TRANSACTIONS ON ADVANCED PACKAGING 4) Silicon oxide dielectric layer is in a higher state of stress compared to copper as a results of its lower CTE compared to copper. Hence extra care should be taken during the dielectric-layer fabrication to ensure a high quality TSV. Fig. 18. Creep strain energy density per cycle variation with via pitch (P). Fig. 19. Creep strain energy density per cycle variation with aspect ratio (H/D). IV. CONCLUSION AND RECOMMENDATIONS Nonlinear stress/strain analyses of the local and global thermal mismatches have been presented. The local stress/strain analyses considered the effect of not only the aspect ratio but also the unfilled via as opposed to the one completely filled with copper. The global model was used to quantify the life (via the creep strain energy density per cycle) of the micro-solder joints which interconnect the TSV interposer and the chip. Some important results and recommendations are summarized in the following. A. Local Thermal Expansion Mismatch 1) For all the TSVs considered, in general, above an aspect ratio (thickness/diameter) of 5, there is little dependence of stress and strain on the aspect ratio of the via. 2) For the perfect TSV structures modeled, failures at the interfaces of the TSV (due to the local thermal expansion mismatch among the Si, SiO, and Cu) are unlikely as the strains in these elements are not large enough to cause failures. 3) The imperfections that could arise during fabrication (such as the poor bonding between interfaces and the presence of asperities which act as points of stress concentration) compounded with the stresses due to the local thermal expansion mismatch could result in failure. Thus, it is recommended that the TSV structures be fabricated as perfectly as possible. B. Global Thermal Expansion Mismatch 1) The increased content of copper in the TSV interposer increased its effective CTE. Hence, the global thermal mismatch between the Si-chip and the Cu-filled TSV-interposer could be very large and the micro-solder joints connecting them are subjected to very large stresses and strains, especially the corner ones. 2) For a given TSV pitch and diameter, the thickness of the Cu-filled Si-interposer plays a very important role. The larger the thickness, the larger the creep strain energy density per cycle, and thus the shorter the thermal-fatigue life of the micro-solder joints. 3) For a given TSV thickness and diameter, the pitch of the Cu-filled Si-interposer also plays an important role. The larger the pitch, the smaller the creep strain energy density per cycle, and thus the longer the thermal-fatigue life of the micro-solder joints. 4) Underfill prolongs the life of the micro-solder joints by cementing the Si-chip to TSV-interposer. This reduces the global thermal expansion mismatch and decreases the stresses and strains in the micro-solder joints. It has been found that with the presence of underfill, the maximum creep strain energy density per cycle acting at the corner micro-solder joint can be reduced as much as 4 times. 5) Based on the cases considered, underfill is recommended, especially for thick and fine-pitch Cu-filled Si-interposer. REFERENCES [1] B. Wunderle, R. Mrossko, O. Wittler, E. Kaulfersch, P. Ramm, B. Michel, and H. Reichl, Thermo-mechanical reliability of 3D-integrated microstructures in stacked silicon, in Mater. Res. Soc. Symp. Proc., 2007, p [2] J. U. Knickerbocker et al., Development of next generation system-on-package (SOP) technology based on silicon carriers with fine-pitch chip interconnection, IBM J. Res. Develop., vol. 49, no. 4/5, pp , [3] P. A. Miranda and A. J. Moll, Thermo-mechanical characterization of copper through-wafer interconnects, presented at the Electron. Compon. Technol. Conf., San Diego, CA, [4] S. Burkett, L. Schaper, T. Rowbotham, J. Patel, T. Lam, U. Abhulimen, D. D. Boyt, M. Gordon, and T. Cai, Material aspects to consider in the fabrication of through-silicon vias, in Mater. Res. Soc. Symp. Proc., 2007, pp [5] N. Takana, T. Sato, Y. Yamaji, T. Morifuji, M. Umemoto, and K. Takahashi, Mechanical effects of copper through-vias in a 3D die-stacked module, in Electron. Compon. Technol. Conf., San Diego, CA, 2002, pp [6] D. T. Read, Y. W. Cheng, and R. Geiss, Morphology, microstructure, and mechanical properties of a copper electrodeposit, Microelectron. Eng., vol. 75, pp , [7] Z. Cao and X. Zhang, Measurement of stress-strain curves of PECVD silicon oxide thin films by means of nanoindentation, in Mater. Res. Soc. Symp. Proc., 2007, vol [8] H. L. J. Pang, B. S. Xiong, C. C. Neo, X. R. Mang, and T. H. Low, Bulk solder and solder joint properties for lead free 95.5Sn-3.8Ag-0.7Cu solder alloy, in Electron. Compon. Technol. Conf., New Orleans, LA, 2003, pp [9] H. L. J. Pang, B. S. Xiong, and T. H. Low, Creep and fatigue charaterisation of lead fress 95.5Sn3.8Ag-0.7Cu solder, in Electron. Compon. Technol. Conf., Las Vegas, NV, 2004, pp

9 SELVANAYAGAM et al.: NONLINEAR THERMAL STRESS/STRAIN ANALYSES OF COPPER FILLED TSV 9 Cheryl S. Selvanayagam received the degree in mechanical engineering from the National University of Singapore. She has been with the Institute of Microelectronics since Her research interests include material characterization and mechanical modeling. John H. Lau (F 94) received three M.S. degrees in structural engineering, engineering physics, and management science. He received the Ph.D. degree in theoretical and applied mechanics from the University of Illinois, Urbana-Champaign. After more than 20 years as a Senior Scientist and MTS at Hewlett-Packard (HP) and Agilent in California, he became Director of the Microsystems, Modules, and Components Laboratory, Institute of Microelectronics, Singapore, for two years, and has been a Visiting Professor with Hong Kong University Science and Technology since With more than 30 years of R&D and manufacturing experience, he has authored or coauthored more than 300 peer-reviewed technical publications and more than 100 book chapters, and given more than 250 presentations. He has authored and coauthored 16 textbooks on advanced packaging, solder joint reliability, and lead-free soldering and manufacturing. Dr. Lau is an elected ASME Fellow. Kripesh Vaidyanathan received the M.S. degree in physics from University of Madras, India, in 1987, and the Ph.D. degree in the area of thick and thin film passives for microelectronics modules from the Max-Planck Institute for Metalforschung, Stuttgart, Germany, in He has 20 years research experience in the area of advanced packaging. He was a Visiting Scientist at Infineon Technologies, Corporate Research, Munich, Germany, in the area of 3-D-integrated circuits. Since March 2000, he has been with the Institute of Microelectronics, Singapore, heading a group of researchers in area of 3-D TSV integration and wafer level packaging process. He has authored more than 60 journal and conference publications and holds 18 patents to his credit. His research interests are 3-D-silicon stacked modules, Cu/Low-k packaging, and wafer level packaging. T. C. Chai is a Member of Technical Staff in the Microsystems, Modules, and Components Lab, Institute of Microelectronics, Singapore. He has worked on area of packaging development, reliability, and failure analysis for 18 years. Recently his focus has been flip chip packaging for Cu low K chip and TSV technology. Xiaowu Zhang received the Ph.D. degree in mechanical engineering from Hong Kong University of Science and Technology (HKUST). Currently, he is a Principal Investigator (PI) with Institute of Microelectronics (IME), A*STAR, Singapore. His research activities cover advanced packaging development and 3-D IC integration. He has authored or co-authored more than 80 technical papers in refereed journals and conference proceedings. Dr. Zhang is the recipient of the 2001 JEP Best Paper Award conferred by ASME Transactions: Journal of Electronic Packaging, and the 2004 Best Industry Support Team Award conferred by IME. He is listed in Who s Who in the World (2006).

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) 1 Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs) Xi Liu Ph.D. Student and Suresh K. Sitaraman, Ph.D. Professor The George W. Woodruff School of Mechanical Engineering Georgia Institute of

More information

Solder joint reliability of cavity-down plastic ball grid array assemblies

Solder joint reliability of cavity-down plastic ball grid array assemblies cavity-down plastic ball grid array S.-W. Ricky Lee Department of Mechanical Engineering, The Hong Kong University of Science and, Kowloon, Hong Kong John H. Lau Express Packaging Systems, Inc., Palo Alto,

More information

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking

FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Hisada et al.: FEM Analysis on Warpage and Stress at the Micro Joint (1/6) [Technical Paper] FEM Analysis on Warpage and Stress at the Micro Joint of Multiple Chip Stacking Takashi Hisada*, Yasuharu Yamada*,

More information

Design for Plastic Ball Grid Array Solder Joint Reliability. S.-W. R. Lee, J. H. Lau*

Design for Plastic Ball Grid Array Solder Joint Reliability. S.-W. R. Lee, J. H. Lau* Page 1 of 9 Design for Plastic Ball Grid Array Solder Joint Reliability The Authors S.-W. R. Lee, J. H. Lau* S.-W. R. Lee, Department of Mechanical Engineering, The Hong Kong University of Science and

More information

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications

Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications Thermo-Mechanical FEM Analysis of Lead Free and Lead Containing Solder for Flip Chip Applications M. Gonzalez 1, B. Vandevelde 1, Jan Vanfleteren 2 and D. Manessis 3 1 IMEC, Kapeldreef 75, 3001, Leuven,

More information

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer Li Zheng, Student Member, IEEE, and Muhannad S. Bakir, Senior Member, IEEE Georgia Institute of Technology Atlanta,

More information

THROUGH-SILICON interposer (TSI) is a

THROUGH-SILICON interposer (TSI) is a Study on Low Warpage and High Reliability for Large Package Using TSV-Free Interposer Technology Through SMART Codesign Modeling Fa Xing Che, Masaya Kawano, Mian Zhi Ding, Yong Han, and Surya Bhattacharya

More information

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages

Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Effects of Design, Structure and Material on Thermal-Mechanical Reliability of Large Array Wafer Level Packages Bhavesh Varia 1, Xuejun Fan 1, 2, Qiang Han 2 1 Department of Mechanical Engineering Lamar

More information

Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* S.-W. Lee, J.H.

Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* S.-W. Lee, J.H. Page 1 of 9 Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* The Authors S.-W. Lee, J.H. Lau** S.-W. Lee, Center for Advanced Engineering

More information

Reliability of RoHS-Compliant 2D and 3D 1С Interconnects

Reliability of RoHS-Compliant 2D and 3D 1С Interconnects Reliability of RoHS-Compliant 2D and 3D 1С Interconnects John H. Lau, Ph.D. New York Chicago San Francisco Lisbon London Madrid Mexico City Milan New Delhi San Juan Seoul Singapore Sydney Toronto Foreword

More information

Effect of Bond Layer Properties to Thermo-Mechanical Stresses in Flip Chip Packaging

Effect of Bond Layer Properties to Thermo-Mechanical Stresses in Flip Chip Packaging Effect of Bond Layer Properties to Thermo-Mechanical Stresses in Flip Chip Packaging Y. W. Pok, D. Sujan, M. E. Rahman, S. S. Dol School of Engineering and Science, Curtin University Sarawak Campus, CDT

More information

Copyright 2008 Year IEEE. Reprinted from IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 1, FEBRUARY Such permission of the IEEE does not

Copyright 2008 Year IEEE. Reprinted from IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 1, FEBRUARY Such permission of the IEEE does not Copyright 2008 Year IEEE. Reprinted from IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 1, FEBRUARY 2008. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute

More information

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages

Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages Simulations and Characterizations for Stress Reduction Designs in Wafer Level Chip Scale Packages by Ming-Che Hsieh STATS ChipPAC Taiwan Co. Ltd. Copyright 2013. Reprinted from 2013 International Microsystems,

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps Materials Transactions, Vol. 52, No. 11 (2011) pp. 2106 to 2110 #2011 The Japan Institute of Metals The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu

More information

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA)

Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Development and Characterization of 300mm Large Panel ewlb (embedded Wafer Level BGA) Seung Wook Yoon, Yaojian Lin and Pandi C. Marimuthu STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442 E-mail

More information

System Level Effects on Solder Joint Reliability

System Level Effects on Solder Joint Reliability System Level Effects on Solder Joint Reliability Maxim Serebreni 2004 2010 Outline Thermo-mechanical Fatigue of solder interconnects Shear and tensile effects on Solder Fatigue Effect of Glass Style on

More information

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip EPRC 12 Project Proposal Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip 15 th Aug 2012 Page 1 Introduction: Motivation / Challenge Silicon device with ultra low k

More information

3DIC Integration with TSV Current Progress and Future Outlook

3DIC Integration with TSV Current Progress and Future Outlook 3DIC Integration with TSV Current Progress and Future Outlook Shan Gao, Dim-Lee Kwong Institute of Microelectronics, A*STAR (Agency for Science, Technology and Research) Singapore 9 September, 2010 1 Overview

More information

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL

SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL 2017 IEEE 67th Electronic Components and Technology Conference SLIM TM, High Density Wafer Level Fan-out Package Development with Submicron RDL YoungRae Kim 1, JaeHun Bae 1, MinHwa Chang 1, AhRa Jo 1,

More information

Solder joint reliability of plastic ball grid array with solder bumped flip chip

Solder joint reliability of plastic ball grid array with solder bumped flip chip ball grid array with solder bumped Shi-Wei Ricky Lee Department of Mechanical Engineering, The Hong Kong University of Science and, Kowloon, Hong Kong John H. Lau Express Packaging Systems, Inc., Palo

More information

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis Dr. Roland Irsigler, emens AG Corporate Technology, CT T P HTC Outline TSV SOLID µbump Stacking TSV application FEA

More information

IEEE. Proof. RECENTLY, embedded wafer-level packaging (ewlp) is

IEEE. Proof. RECENTLY, embedded wafer-level packaging (ewlp) is TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY Investigation on Die Shift Issues in the -in Wafer-Level Compression Molding Process Lin Bu, Siowling Ho, Sorono Dexter Velez, Taichong

More information

Predicting the Reliability of Zero-Level TSVs

Predicting the Reliability of Zero-Level TSVs Predicting the Reliability of Zero-Level TSVs Greg Caswell and Craig Hillman DfR Solutions 5110 Roanoke Place, Suite 101 College Park, MD 20740 gcaswell@dfrsolutions.com 443-834-9284 Through Silicon Vias

More information

Numerical analysis of TSV/micro-bump deformation due to chip misalignment and thermal processing in 3D IC packages

Numerical analysis of TSV/micro-bump deformation due to chip misalignment and thermal processing in 3D IC packages University of New Mexico UNM Digital Repository Mechanical Engineering ETDs Engineering ETDs 8-27-2012 Numerical analysis of TSV/micro-bump deformation due to chip misalignment and thermal processing in

More information

Mechanical Behavior of Flip Chip Packages under Thermal Loading

Mechanical Behavior of Flip Chip Packages under Thermal Loading Mechanical Behavior of Flip Packages under Thermal Loading *Shoulung Chen 1,2, C.Z. Tsai 1,3, Nicholas Kao 1,4, Enboa Wu 1 1 Institute of Applied Mechanics, National Taiwan University 2 Electronics Research

More information

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding Chapter 4 Fabrication Process of Silicon Carrier and Gold-Gold Thermocompression Bonding 4.1 Introduction As mentioned in chapter 2, the MEMs carrier is designed to integrate the micro-machined inductor

More information

Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation

Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation Chaoqi Zhang, Hyung Suk Yang, and Muhannad S. Bakir School of Electrical and Computer Engineering Georgia Institute

More information

ORGANIC materials are most commonly used for today s

ORGANIC materials are most commonly used for today s 796 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 4, NO. 5, MAY 2014 Finite Element Analysis and Experiment Validation of Highly Reliable Silicon and Glass Interposers-to-Printed

More information

5. Packaging Technologies Trends

5. Packaging Technologies Trends 5. Packaging Technologies Trends Electronic products and microsystems continue to find new applications in personal, healthcare, home, automotive, environmental and security systems. Advancements in packaging

More information

A New 2.5D TSV Package Assembly Approach

A New 2.5D TSV Package Assembly Approach A New 2.5D TSV Package Assembly Approach Yuan Lu 1,2, Wen Yin 1,2, Bo Zhang 1,2, Daquan Yu 1,2, Lixi Wan 2, Dongkai Shangguan 1,2 Guofeng Xia 3, Fei Qin 3, Mao Ru 4, Fei Xiao 4 1 National Center for Advanced

More information

Available online at ScienceDirect. Procedia Engineering 79 (2014 )

Available online at  ScienceDirect. Procedia Engineering 79 (2014 ) Available online at www.sciencedirect.com ScienceDirect Procedia Engineering 79 (2014 ) 333 338 37th National Conference on Theoretical and Applied Mechanics (37th NCTAM 2013) & The 1st International Conference

More information

ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS

ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS ENHANCING WLCSP RELIABILITY THROUGH BUILD-UP STRUCTURE IMPROVEMENTS AND NEW SOLDER ALLOYS B. Rogers, M. Melgo, M. Almonte, S. Jayaraman, C. Scanlan, and T. Olson Deca Technologies, Inc 7855 S. River Parkway,

More information

INTERCONNECT STRUCTURE FOR ROOM TEMPERATURE 3D-IC STACKING EMPLOYING BINARY ALLOYING FOR HIGH TEMPERATURE STABILITY

INTERCONNECT STRUCTURE FOR ROOM TEMPERATURE 3D-IC STACKING EMPLOYING BINARY ALLOYING FOR HIGH TEMPERATURE STABILITY INTERCONNECT STRUCTURE FOR ROOM TEMPERATURE 3D-IC STACKING EMPLOYING BINARY ALLOYING FOR HIGH TEMPERATURE STABILITY Eric Schulte 1, Matthew Lueck 2, Alan Huffman 2, Chris Gregory 2, Keith Cooper 1, Dorota

More information

Accurate Predictions of Flip Chip BGA Warpage

Accurate Predictions of Flip Chip BGA Warpage Accurate Predictions of Flip Chip BGA Warpage Yuan Li Altera Corporation 11 Innovation Dr, M/S 422 San Jose, CA 95134 ysli@altera.com, (48)544-758 Abstract Organic flip chip BGA has been quickly adopted

More information

Heat Dissipation Capability of a Package-on- Package Embedded Wafer-Level Package

Heat Dissipation Capability of a Package-on- Package Embedded Wafer-Level Package 1 Heat Dissipation Capability of a Package-on- Package Embedded Wafer-Level Package Yong Han, Boon Long Lau, Boo Yang Jung, Xiaowu Zhang, Senior Member, IEEE Abstract As the embedded wafer-level packaging

More information

Finite Element Modeling of Heat Transfer and Thermal Stresses for Three-dimensional Packaging of Power Electronics Modules

Finite Element Modeling of Heat Transfer and Thermal Stresses for Three-dimensional Packaging of Power Electronics Modules Finite Element Modeling of Heat Transfer and Thermal Stresses for Three-dimensional Packaging of Power Electronics Modules Simon S. Wen and Guo-Quan Lu Center for Power Electronics Systems The Bradley

More information

Packaging Effect on Reliability for Cu/Low k Damascene Structures*

Packaging Effect on Reliability for Cu/Low k Damascene Structures* Packaging Effect on Reliability for Cu/Low k Damascene Structures* Guotao Wang and Paul S. Ho Laboratory of Interconnect & Packaging, TX 78712 * Work supported by SRC through the CAIST Program TRC 2003

More information

Cu electroplating in advanced packaging

Cu electroplating in advanced packaging Cu electroplating in advanced packaging March 12 2019 Richard Hollman PhD Principal Process Engineer Internal Use Only Advancements in package technology The role of electroplating Examples: 4 challenging

More information

Next Gen Packaging & Integration Panel

Next Gen Packaging & Integration Panel Next Gen Packaging & Integration Panel ECTC 2012 Daniel Tracy, Sr. Director Industry Research & Statistics SEMI May 29, 2012 Packaging Supply Chain Market Trends Material Needs and Opportunities Market

More information

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications Zaheed S. Karim 1 and Jim Martin 2 1 Advanced Interconnect Technology Ltd. 1901 Sunley Centre, 9 Wing Yin Street, Tsuen Wan, Hong

More information

Growth Kinetics of Reaction Layers in Flip Chip Joints with Cu-cored Lead-free Solder Balls

Growth Kinetics of Reaction Layers in Flip Chip Joints with Cu-cored Lead-free Solder Balls Materials Transactions, Vol. 5, No. 3 () pp. 75 to 75 Special Issue on Lead-Free Soldering in Electronics # The Japan Institute of Metals Growth Kinetics of Reaction Layers in Flip Chip Joints with Cu-cored

More information

Microbumping technology for Hybrid IR detectors, 10µm pitch and beyond

Microbumping technology for Hybrid IR detectors, 10µm pitch and beyond Microbumping technology for Hybrid IR detectors, 10µm pitch and beyond B. Majeed, P. Soussan, P. Le Boterf 1, P. Bouillon 1 Imec Kapeldreef 75, Leuven 3001, Belgium 1 Sofradir, 364, route de valence, 38113

More information

Parametric Design and Reliability Analysis of Wire Interconnect Technology Wafer Level Packaging

Parametric Design and Reliability Analysis of Wire Interconnect Technology Wafer Level Packaging Y. T. Lin Graduate Assistant C. T. Peng Graduate Assistant K. N. Chiang Associate Professor e-mail: Knchiang@pme.nthu.edu.tw Dept. of Power Mechanical Engineering, National Tsing Hua University, HsinChu

More information

Effect of Design Parameters on Drop Test Performance of Wafer Level Chip Scale Packages

Effect of Design Parameters on Drop Test Performance of Wafer Level Chip Scale Packages P. Tumne 1 e-mail: ptumne1@binghamton.edu V. Venkatadri Department of Systems Science and Industrial Engineering, Binghamton University, Binghamton, NY 13902-6000 S. Kudtarkar M. Delaus Analog Devices,

More information

Field Condition Reliability Assessment for SnPb and SnAgCu Solder Joints in Power Cycling Including Mini Cycles

Field Condition Reliability Assessment for SnPb and SnAgCu Solder Joints in Power Cycling Including Mini Cycles Field Condition Reliability Assessment for SnPb and SnAgCu Solder Joints in Power Cycling Including Mini Cycles Min Pei 1, Xuejun Fan 2 and Pardeep K. Bhatti 2 1 Georgia Tech, 801 Ferst Dr. NW, Atlanta,

More information

S/C Packaging Assembly Challenges Using Organic Substrate Technology

S/C Packaging Assembly Challenges Using Organic Substrate Technology S/C Packaging Assembly Challenges Using Organic Substrate Technology Presented by Bernd Appelt ASE Group Nov. 17, 2009 Overview The Packaging Challenge Chip Substrate Interactions Stiffeners for FC-BGA

More information

Comparison of thermo-mechanical behavior of lead-free copper and tin lead column grid array packages

Comparison of thermo-mechanical behavior of lead-free copper and tin lead column grid array packages Available online at www.sciencedirect.com Microelectronics Reliability 48 (8) 763 77 www.elsevier.com/locate/microrel Comparison of thermo-mechanical behavior of lead-free copper and tin lead column grid

More information

EVOLUTION OF INTERNAL STATES IN A SN-PB SOLDER JOINT DURING RE-FLOW AND THERMAL CYCLES

EVOLUTION OF INTERNAL STATES IN A SN-PB SOLDER JOINT DURING RE-FLOW AND THERMAL CYCLES EVOLUTION OF INTERNAL STATES IN A SN-PB SOLDER JOINT DURING RE-FLOW AND THERMAL CYCLES Liew Yek Ban 1, Mohd Nasir Tamin 1 and Goh Teck Joo 2 1 Faculty of Mechanical Engineering, Universiti Teknologi Malaysia,

More information

NUMERICAL MODELING OF CYCLIC STRESS-STRAIN BEHAVIOR OF Sn-Pb SOLDER JOINT DURING THERMAL FATIGUE

NUMERICAL MODELING OF CYCLIC STRESS-STRAIN BEHAVIOR OF Sn-Pb SOLDER JOINT DURING THERMAL FATIGUE NUMERICAL MODELING OF CYCLIC STRESS-STRAIN BEHAVIOR OF Sn-Pb SOLDER JOINT DURING THERMAL FATIGUE M.N. Tamin and Y.B. Liew Department of Applied Mechanics Faculty of Mechanical Engineering 81310 UTM Skudai,

More information

Interfacial Delamination Near Solder Bumps and UBM in Flip-Chip Packages

Interfacial Delamination Near Solder Bumps and UBM in Flip-Chip Packages Yu Gu Toshio Nakamura Mem. ASME Department of Mechanical Engineering, State University of New York at Stony Brook, Stony Brook, NY 11794 William T. Chen Brian Cotterell Institute of Materials Research

More information

Hannah Erika R. Ducusin, Jennifer.J. Fabular, Richard Raymond N. Dimagiba, Manolo G. Mena. A. Model Description

Hannah Erika R. Ducusin, Jennifer.J. Fabular, Richard Raymond N. Dimagiba, Manolo G. Mena. A. Model Description Investigation of the Effect of Varying Silicon Die Size and Thickness on a Small Outline Transistor on the Silicon Die Crack Using Finite Element Method Hannah Erika R. Ducusin, Jennifer.J. Fabular, Richard

More information

JOINT INDUSTRY STANDARD

JOINT INDUSTRY STANDARD JOINT INDUSTRY STANDARD AUGUST 1999 Semiconductor Design Standard for Flip Chip Applications ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Semiconductor Design Standard for Flip Chip Applications About

More information

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages

Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages Reliability Evaluation of CIF (chip-in-flex) and COF (chip-on-flex) packages Jae-Won Jang* a, Kyoung-Lim Suk b, Kyung-Wook Paik b, and Soon-Bok Lee a a Dept. of Mechanical Engineering, KAIST, 335 Gwahangno

More information

Interconnect Structure for Room Temperature 3D-IC Stacking Employing Binary Alloying for High Temperature Stability

Interconnect Structure for Room Temperature 3D-IC Stacking Employing Binary Alloying for High Temperature Stability Minapad 2014, May 21 22th, Grenoble; France Interconnect Structure for Room Temperature 3D-IC Stacking Employing Binary Alloying for High Temperature Stability Eric Schulte 1, Keith Cooper 1 Matthew Lueck

More information

Thermomechanical Response of Anisotropically Conductive Film

Thermomechanical Response of Anisotropically Conductive Film Thermomechanical Response of Anisotropically Conductive Film Yung Neng Cheng, Shyong Lee and Fuang Yuan Huang Department of Mechanical Engineering National Central University, Chung-li, Taiwan shyong@cc.ncu.edu.tw

More information

Material based challenge and study of 2.1, 2.5 and 3D integration

Material based challenge and study of 2.1, 2.5 and 3D integration 1 Material based challenge and study of 2.1, 2.5 and 3D integration Toshihisa Nonaka Packaging Solution Center R&D Headquarters Hitachi Chemical Co., Ltd., Sep. 8, 2016 Hitachi Chemical Co., Ltd. 2010.

More information

Molding materials performances experimental study for the 3D interposer scheme

Molding materials performances experimental study for the 3D interposer scheme Minapad 2014, May 21 22th, Grenoble; France Molding materials performances experimental study for the 3D interposer scheme Y. Sinquin, A. Garnier, M. Argoud, A. Jouve, L. Baud, J. Dechamp, N. Allouti,

More information

Green IC packaging: A threath to electronics reliability

Green IC packaging: A threath to electronics reliability Green IC packaging: A threath to electronics reliability Geert Willems Steven Thijs, Bart Vandevelde imec 13 juni 2012 Bits & Chips Hardware Conference Content 1. Plastic Molding Compounds 2. Towards Green,

More information

Bare Die Assembly on Silicon Interposer at Room Temperature

Bare Die Assembly on Silicon Interposer at Room Temperature Minapad 2014, May 21 22th, Grenoble; France Bare Die Assembly on Silicon Interposer at Room Temperature W. Ben Naceur, F. Marion, F. Berger, A. Gueugnot, D. Henry CEA LETI, MINATEC 17, rue des Martyrs

More information

Adaption to scientific and technical progress under Directive 2002/95/EC

Adaption to scientific and technical progress under Directive 2002/95/EC . Adaption to scientific and technical progress under Directive 2002/95/EC Results previous evaluation Exemption No. 15 Lead in solders to complete a viable electrical connection between semiconductor

More information

THE SCALING of transistors to smaller dimension has

THE SCALING of transistors to smaller dimension has IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 33, NO. 3, SEPTEMBER 2010 621 Thermal-Mechanical Stress Modeling of Copper Chip-to-Substrate Pillar Connections Ping Nicole An and Paul

More information

Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7)

Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7) Jeong et al.: Effect of the Formation of the Intermetallic Compounds (1/7) Effect of the Formation of the Intermetallic Compounds between a Tin Bump and an Electroplated Copper Thin Film on both the Mechanical

More information

II. A. Basic Concept of Package.

II. A. Basic Concept of Package. Wafer Level Package for Image Sensor Module Won Kyu Jeung, Chang Hyun Lim, Jingli Yuan, Seung Wook Park Samsung Electro-Mechanics Co., LTD 314, Maetan3-Dong, Yeongtong-Gu, Suwon, Gyunggi-Do, Korea 440-743

More information

TEMPERATURE CYCLING AND FATIGUE IN ELECTRONICS

TEMPERATURE CYCLING AND FATIGUE IN ELECTRONICS TEMPERATURE CYCLING AND FATIGUE IN ELECTRONICS Gilad Sharon, Ph.D. DfR Solutions Beltsville, MD, USA gsharon@dfrsolutions.com Greg Caswell DfR Solutions Liberty Hill, TX, USA gcaswell@dfrsolutions.com

More information

Fraunhofer IZM Bump Bonding and Electronic Packaging

Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer IZM Bump Bonding and Electronic Packaging Fraunhofer Institute for Reliability and Microintegration (IZM) Gustav-Meyer-Allee 25 13355 Berlin Germany Dipl.-Ing. Thomas Fritzsch Contact: thomas.fritzsch@izm.fraunhofer.de

More information

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages

Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages Board Level Reliability Improvement in ewlb (Embedded Wafer Level BGA) Packages by Seng Guan Chow, Yaojian Lin, Bernard Adams * and Seung Wook Yoon** STATS ChipPAC Ltd. 5 Yishun Street 23, Singapore 768442

More information

White Paper. Discussion on Cracking/Separation in Filled Vias. By: Nathan Blattau, PhD

White Paper. Discussion on Cracking/Separation in Filled Vias. By: Nathan Blattau, PhD White Paper Discussion on Cracking/Separation in Filled Vias By: Nathan Blattau, PhD Introduction The Knadle PTH life curve" has been used for over 15 years to characterize new materials or PTH structures,

More information

Thermal stress analysis of leads in Quad Flat Package: a parametric study

Thermal stress analysis of leads in Quad Flat Package: a parametric study Thermal stress analysis of leads in Quad Flat Package: a parametric study D. Zhou Faculty of,, zhouding@siswa.um.edu.my A.S.M.A. Haseeb Faculty of, haseeb@um.edu.my A. Andriyana Faculty of, andri.andriyana@um.edu.my

More information

2ND LEVEL INTERCONNECT RELIABILITY OF CERAMIC AREA ARRAY PACKAGES

2ND LEVEL INTERCONNECT RELIABILITY OF CERAMIC AREA ARRAY PACKAGES 2ND LEVEL INTERCONNECT RELIABILITY OF CERAMIC AREA ARRAY PACKAGES Shingo Sato, Noriyuki Shimizu*, Shin Matsuda, Shoji Uegaki and Sachio Ninomiya Kyocera Corporation Kyoto, Japan Biography Noriyuki Shimizu

More information

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability

Simulation of Embedded Components in PCB Environment and Verification of Board Reliability Simulation of Embedded Components in PCB Environment and Verification of Board Reliability J. Stahr, M. Morianz AT&S Leoben, Austria M. Brizoux, A. Grivon, W. Maia Thales Global Services Meudon-la-Forêt,

More information

Adaption to scientific and technical progress under Directive 2002/95/EC

Adaption to scientific and technical progress under Directive 2002/95/EC . Adaption to scientific and technical progress under Directive 2002/95/EC Results previous evaluation Exemption No. 7 a a) Lead in high melting temperature type solders (i.e. lead-based alloys containing

More information

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package

Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Basic PCB Level Assembly Process Methodology for 3D Package-on-Package Vern Solberg STC-Madison Madison, Wisconsin USA Abstract The motivation for developing higher density IC packaging continues to be

More information

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability

Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability Assembly Challenges in Developing 3D IC Package with Ultra High Yield and High Reliability Raghunandan Chaware, Ganesh Hariharan, Jeff Lin, Inderjit Singh, Glenn O Rourke, Kenny Ng, S. Y. Pai Xilinx Inc.

More information

A New Creep Constitutive Model for Eutectic Solder Alloy

A New Creep Constitutive Model for Eutectic Solder Alloy X. Q. Shi e-mail: xqshi@gintic.gov.sg Z. P. Wang Gintic Institute of Manufacturing Technology, Nanyang Drive, Singapore 638075 W. Zhou H. L. J. Pang School of Mechanical & Production Engineering, Nanyang

More information

Fundamental Course in Mechanical Processing of Materials. Exercises

Fundamental Course in Mechanical Processing of Materials. Exercises Fundamental Course in Mechanical Processing of Materials Exercises 2017 3.2 Consider a material point subject to a plane stress state represented by the following stress tensor, Determine the principal

More information

Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films

Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films H.D. Espinosa and B.C. Prorok Department of Mechanical Engineering, Northwestern University Evanston, IL 628-3111, USA ABSTRACT

More information

Low Cycle Fatigue Testing of Ball Grid Array Solder Joints under Mixed-Mode Loading Conditions

Low Cycle Fatigue Testing of Ball Grid Array Solder Joints under Mixed-Mode Loading Conditions Tae-Sang Park Mechatronics & Manufacturing Technology Center, Corporate Technology Operations, Samsung Electronics Co., LTD, 416, Maetan-3Dong, Yeongtong-Gu, Suwon-City, Gyeonggi-Do, 443-742, Korea e-mail:

More information

Chapter 2 Manufacturing Process

Chapter 2 Manufacturing Process Digital Integrated Circuits A Design Perspective Chapter 2 Manufacturing Process 1 CMOS Process 2 CMOS Process (n-well) Both NMOS and PMOS must be built in the same silicon material. PMOS in n-well NMOS

More information

24th European Photovoltaic Solar Energy Conference and Exhibition, September 2009, Hamburg, Germany

24th European Photovoltaic Solar Energy Conference and Exhibition, September 2009, Hamburg, Germany A MULTISCALE MODEL OF THE ALUMINIUM LAYER AT THE REAR SIDE OF A SOLAR CELL T. van Amstel 1, V. Popovich 2 and I.J. Bennett 1 ¹ECN Solar Energy, P.O. Box 1, 1755 ZG Petten, The Netherlands ²Department of

More information

Chapter 3 Silicon Device Fabrication Technology

Chapter 3 Silicon Device Fabrication Technology Chapter 3 Silicon Device Fabrication Technology Over 10 15 transistors (or 100,000 for every person in the world) are manufactured every year. VLSI (Very Large Scale Integration) ULSI (Ultra Large Scale

More information

AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING

AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING AN ANALYSIS OF KEY COST AND YIELD DRIVERS FOR FAN-OUT WAFER LEVEL PACKAGING Amy Palesko SavanSys Solutions LLC Austin, TX, USA amyp@savansys.com ABSTRACT Although interest in wafer level packaging has

More information

23 rd ASEMEP National Technical Symposium

23 rd ASEMEP National Technical Symposium THE EFFECT OF GLUE BOND LINE THICKNESS (BLT) AND FILLET HEIGHT ON INTERFACE DELAMINATION Raymund Y. Agustin Janet M. Jucar Jefferson S. Talledo Corporate Packaging & Automation/ Q&R STMicroelectronics,

More information

IN ELECTRONIC packaging, materials with different coefficients

IN ELECTRONIC packaging, materials with different coefficients 850 IEEE TRANSACTIONS ON COMPONENTS AND PACKAGING TECHNOLOGIES, VOL. 29, NO. 4, DECEMBER 2006 Analysis of Multilayered Microelectronic Packaging Under Thermal Gradient Loading Cemal Basaran, Member, IEEE,

More information

System-in-Package Research within the IeMRC

System-in-Package Research within the IeMRC LANCASTER U N I V E R S I T Y Centre for Microsystems Engineering Faculty of Applied Sciences System-in-Package Research within the IeMRC Prof. Andrew Richardson (Lancaster University) Prof. Chris Bailey

More information

Warpage Mechanism of Thin Embedded LSI Packages

Warpage Mechanism of Thin Embedded LSI Packages Nakashima et al.: Warpage Mechanism of Thin Embedded LSI Packages (1/10) [Technical Paper] Warpage Mechanism of Thin Embedded LSI Packages Yoshiki Nakashima*, Katsumi Kikuchi*, Kentaro Mori*, Daisuke Ohshima**,

More information

REDUCTION OF WARPAGE OCCURRENCE STACK-DIE QFN THROUGH FEA AND STATISTICAL METHOD

REDUCTION OF WARPAGE OCCURRENCE STACK-DIE QFN THROUGH FEA AND STATISTICAL METHOD REDUCTION OF WARPAGE OCCURRENCE STACK-DIE QFN THROUGH FEA AND STATISTICAL METHOD I. Abdullah, M. Z. M. Talib, I. Ahmad, M. N. B. C. Kamarudin and N. N. Bachok Faculty of Engineering,Universiti Kebangsaan

More information

IMPACT OF LEAD-FREE COMPONENTS AND TECHNOLOGY SCALING FOR HIGH RELIABILITY APPLICATIONS

IMPACT OF LEAD-FREE COMPONENTS AND TECHNOLOGY SCALING FOR HIGH RELIABILITY APPLICATIONS IMPACT OF LEAD-FREE COMPONENTS AND TECHNOLOGY SCALING FOR HIGH RELIABILITY APPLICATIONS Chris Bailey, Ph.D. University of Greenwich London, United Kingdom c.bailey@gre.ac.uk ABSTRACT Semiconductor technology

More information

Modeling Component Assembly of a Bearing Using Abaqus

Modeling Component Assembly of a Bearing Using Abaqus Modeling Component Assembly of a Bearing Using Abaqus Bisen Lin, Ph.D., P.E. and Michael W. Guillot, Ph.D., P.E. Stress Engineering Services, Inc. Abstract: Assembly process of a bearing considered in

More information

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply

Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply Copyright 2009 Year IEEE. Reprinted from 2009 Electronic Components and Technology Conference. Such permission of the IEEE does not in any way imply IEEE endorsement of any of Institute of Microelectronics

More information

Bonding Technologies for 3D-Packaging

Bonding Technologies for 3D-Packaging Dresden University of Technology / Karsten Meier, Klaus-Juergen Wolter NanoZEIT seminar @ SEMICON Europa 2011 Dresden System integration by SoC or SiP solutions offer advantages regarding design efforts,

More information

Pressure-Assisted Low-Temperature Sintering of Silver Paste as an Alternative Die-Attach Solution to Solder Reflow

Pressure-Assisted Low-Temperature Sintering of Silver Paste as an Alternative Die-Attach Solution to Solder Reflow Pressure-Assisted Low-Temperature Sintering of Silver Paste as an Alternative Die-Attach Solution to Solder Reflow Zhiye (Zach) Zhang and Guo-Quan Lu Center for Power Electronics Systems The Bradley Department

More information

Brittle Failure Mechanism of SnAgCu and SnPb Solder Balls during High Speed Ball Shear and Cold Ball Pull Tests

Brittle Failure Mechanism of SnAgCu and SnPb Solder Balls during High Speed Ball Shear and Cold Ball Pull Tests Brittle Failure Mechanism of SnAgCu and SnPb Solder Balls during High Speed Ball Shear and Cold Ball Pull Tests Fubin Song 1, S. W. Ricky Lee 1, Keith Newman 2, Bob Sykes 3, Stephen Clark 3 1 EPACK Lab,

More information

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY B. Rogers, C. Scanlan, and T. Olson Deca Technologies, Inc. Tempe, AZ USA boyd.rogers@decatechnologies.com ABSTRACT Fan-Out Wafer-Level Packaging

More information

LEAD-BASED solders have been used to provide electrical

LEAD-BASED solders have been used to provide electrical 38 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 30, NO. 1, FEBRUARY 2007 Effects of Phase Change of Pb-Free Flip-Chip Solders During Board-Level Interconnect Reflow Soonwan Chung, Zhenming Tang, and Seungbae

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C EPRC 12 Project Proposal Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C 15 th August 2012 Page 1 Motivation Increased requirements of high power semiconductor device

More information

MEPTEC Semiconductor Packaging Technology Symposium

MEPTEC Semiconductor Packaging Technology Symposium MEPTEC Semiconductor Packaging Technology Symposium Advanced Packaging s Interconnect Technology Process Shift and Direction October 23, 2014 Jay Hayes- Director of Business Development -Bumping and Flip

More information

REWORKABLE EDGEBOND APPLIED WAFER-LEVEL CHIP-SCALE PACKAGE (WLCSP) THERMAL CYCLING PERFORMANCE ENHANCEMENT AT ELEVATED TEMPERATURE

REWORKABLE EDGEBOND APPLIED WAFER-LEVEL CHIP-SCALE PACKAGE (WLCSP) THERMAL CYCLING PERFORMANCE ENHANCEMENT AT ELEVATED TEMPERATURE REWORKABLE EDGEBOND APPLIED WAFER-LEVEL CHIP-SCALE PACKAGE (WLCSP) THERMAL CYCLING PERFORMANCE ENHANCEMENT AT ELEVATED TEMPERATURE Tae-Kyu Lee, Ph.D. Portland State University Portland, OR, USA taeklee@pdx.edu

More information