DSA Hole Defectivity Analysis using Advanced Optical Inspection Tool

Size: px
Start display at page:

Download "DSA Hole Defectivity Analysis using Advanced Optical Inspection Tool"

Transcription

1 DSA Hole Defectivity Analysis using Advanced Optical Inspection Tool Ryota Harukawa a, Masami Aoki a, Andrew Cross a, Venkat Nagaswami a, Tadatoshi Tomita b, Seiji Nagahara c, Makoto Muramatsu b, Shinichiro Kawakami b, Hitoshi Kosugi b, Benjamen Rathsack d, Takahiro Kitano b, Jason Sweis e, and Ali Mokhberi e a KLA Tencor Corporation, 1 Technology Drive, Milpitas CA 95035, USA; b Tokyo Electron Kyushu Ltd.,1-1, Fukuhara, Koshi-shi, Kumamoto , Japan; c Tokyo Electron Ltd., 3-1 Akasaka 5-chome, Minato-ku, Tokyo , Japan; d Tokyo Electron America, Inc., 2400 Grove Boulevard, Austin, TX 78741, USA; e Cadence Design Systems, Inc., 2655 Seely Avenue, San Jose, CA ABSTRACT This paper discusses the defect density detection and analysis methodology using advanced optical wafer inspection capability to enable accelerated development of a DSA process/process tools and the required inspection capability to monitor such a process. The defectivity inspection methodologies are optimized for grapho epitaxy directed selfassembly (DSA) contact holes with 25 nm sizes. A defect test reticle with programmed defects on guide patterns is designed for improved optimization of defectivity monitoring. Using this reticle, resist guide holes with a variety of sizes and shapes are patterned using an ArF immersion scanner. The negative tone development (NTD) type thermally stable resist guide is used for DSA of a polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymer (BCP). Using a variety of defects intentionally made by changing guide pattern sizes, the detection rates of each specific defectivity type has been analyzed. It is found in this work that to maximize sensitivity, a two pass scan with bright field (BF) and dark field (DF) modes provides the best overall defect type coverage and sensitivity. The performance of the two pass scan with BF and DF modes is also revealed by defect analysis for baseline defectivity on a wafer processed with nominal process conditions. Keywords: directed self-assembly, grapho-epitaxy, defectivity, optical inspection 1. INTRODUCTION Lithography beyond 1x nm memory / 2x nm logic devices has become more and more challenging. Next generation lithography (NGL) technology beyond ArF immersion lithography resolution is required to meet the needs of these advanced design rule nodes. As an alternative or complementary patterning technology for smaller critical dimension (CD), Directed Self-Assembly (DSA) is gaining more attention DSA can be used for hole and line multiplication to shrink pattern pitch The multiplication techniques are considered to be a promising complimentary technology to enhance lithography resolution. Also DSA can be used for hole shrink approach in a guide structure combining multi patterning or EUV to make small pitches The approach to generate a DSA pattern in specific or restricted area in guide patterns is called grapho epitaxy Using the grapho epitaxy approach, multi holes in a guide pattern can be patterned with narrower pitches. Using this unique technique,the application of grapho epitaxy for contact holes has attracted a growing interest as a future NGL technology for semiconductor mass production. The patterning performance of grapho epitaxy DSA holes has been verified by many researchers The approach is known to improve CD uniformity and reduce contact hole edge roughness (CER) for contacts in the range of 25 nm or smaller 6,13. One of the remaining challenges will be effective defect monitoring to improve processes for mass production. This paper will discuss defect density detection and analysis methodology using advanced optical wafer inspection capability. After the explanation of experimental conditions in section 2, we will discuss how we utilize the programmed defects to optimize the wafer inspection optical condition in section 3.1. Then in section 3.2, we analyze programmed defect capture rate results to identify the best methodology for defect monitoring to capture a wider range of defects. In section 3.3, the optimized defect recipe is used to examine a processed wafer without programmed defects. Metrology, Inspection, and Process Control for Microlithography XXVII, edited by Alexander Starikov, Jason P. Cain, Proc. of SPIE Vol. 8681, 86811A 2013 SPIE CCC code: X/13/$18 doi: / Proc. of SPIE Vol A-1

2 2. EXPERIMENTAL The first step of this study is to identify optimum defect inspection conditions for the grapho-epitaxy DSA process flow in Figure 1. First the resist guide contact hole is patterned with a negative tone development (NTD) by ArF immersion scanner ASML TWINSCAN XT:1900i with NA1.35 and CLEAN TRACK TM LITHIUS Pro TM Z by Tokyo Electron Ltd. (TEL) located at Tokyo Electron Kyushu Ltd. The negative tone development (NTD) type thermally stable resist guide is used for DSA of a polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymer (BCP) is coated, baked and wet developed by using a CLEAN TRACK TM LITHIUS Pro TM Z. --LL Guide patterning (Imm ArF NTD) BCP coating PR BCP separation (Anneal) e Pattern transfer to film PR/PS removal Figure 1. Wafer inspection step (green box) in the grapho epitaxy BCP process flow KLA 2800 inspection system at Tokyo Electron Kyushu Ltd. was used for this investigation. We used a programmed defect reticle to enable faster learning to optimize the wafer inspection recipe. In the programmed defect reticle, we designed size variations on contact hole guide patterns printed to create a number of DSA defect types. Onm Y ED. 67nm XY X >. II- Shrunken 45nm 43nm 41nm 2nm step 25nm 23nm XY ' I. X Il I Y ' M = Expanded 45nm 47nm 49nm 2nm step 63nm 65nm Figure 2. Schematic drawing of programmed defect shape/size variation (size is on the wafer) Since DSA is a self-assembly process it is difficult to directly produce DSA defects. Therefore, we intentionally make defects using different shrunken and expanded features in the guide patterns to generate defects of interest in the final DSA patterns. The use of programmed defects enhances our ability to optimize a wafer inspection recipe, with faster time to results. The programmed defects in guide patterns place potential DSA defects of interest in known locations. This provides a more effective defect inspection recipe optimization with a more complete picture of all the defect types of potential interest rather than by optimizing on a sample with only random generated defects on a particular wafer. Proc. of SPIE Vol A-2

3 Also cases of evaluating sensitive materials such as photoresists or DSA polymers, we have to take extra care in identifying candidate defects as SEM review can damage the materials. SEM review is an essential step to verify defect types during inspection recipe optimization. When there are multiple occurrences by die or reticle field with programmed defects this becomes a much more manageable problem as we can limit review to certain regions of the wafer and still allow further inspection optimization if necessary on a single sample. An additional benefit of using programmed defects for recipe optimization is that we can enable accurate quantification of wafer inspection recipe sensitivity on a repeat scan capture rate calculation with a single sample wafer. The programmed defect reticle design in this study uses a hole pattern with shape/size variation on a repeating array of 75 nm contact holes with a 110 nm pitch on the wafer. We have both shrunken and expanded holes in X, Y and XY directions provide a total of six shape variants. Each variant has a range of sizes and a total of 81 programmed defects have been added to each exposure field. For this study we have fully exposed a 300 mm sample wafer with this programmed defect reticle. The reticle design was implemented on the Virtuoso TM CAD platform by Cadence. The lithography model for the scanner was calibrated by the Cadence Computational Lithography Solutions group beforehand using AcuWafer TM. Finally, the optical proximity correction and verification was performed on the entire layout using the Cadence Dimension PPC TM system. The focus of this study is on the inspection of the post PMMA removal process step with wet development (Wet-Dev) as we expect to have better contrast than BCP phase separation latent image after DSA annealing. As the programmed defects are printed in the array region, we can apply a cell-to-cell inspection mode in this study enabling greater inspection signal to noise than a die-to-die inspection would provide. 3. RESULTS AND DISCUSSION 3.1 Programmed defect observations and wafer inspection optical condition optimization The programmed defects occurring after DSA Wet-Dev were observed using an edr5210 SEM review tool to understand the DSA defect types generated. A master review file containing all programmed defect coordinates was prepared to allow us to visit all 81 of the programmed defect locations per die on multiple dies. The master file is used to develop an understanding of the defect types that formed and any across wafer printability distribution. Through observation, it is confirmed that the grapho epitaxy guide pattern bias can control DSA defect creation, in the case of both of shrunken and expanded contact holes. If the guide hole pattern is not printed or is significantly smaller, no selfassembly is found. As the guide hole size increases towards nominal, then a shrunken assembly is formed and at a certain threshold the pattern assembles as expected. In the case of larger guide hole pattern printing, assembled holes are distorted and or multiplied. Through these observations, we identified several defect types which fall into two major categories. One is DSA failure where the guide pattern is printed somewhat normally but a defect occurs in the DSA material. The other is DSA failure where both the guide and DSA fail, that is, where a failure of the guide pattern is translated into a failure of the DSA pattern. Multiple examples of each defect type were selected as candidates to optimize inspection optics conditions. To optimize the optics conditions, we ran an Optics Selector (O/S) session on selected programmed defects. O/S is a function of the wafer defect inspection tool which enables the automatic collection of signal and noise values for multiple defects in order to allow quantitative comparisons between different optics conditions for signal to noise ratio (S/N) and signal to noise subtraction (S-N). From this analysis, the top bright field (BF) and dark field (DF) inspection modes were chosen. Proc. of SPIE Vol A-3

4 Guide not printed (F -3) 56nm guide (F-7) 11 L l' l,ì L ` 1/4: Q LuLk LI= 83nm guide (F-11) 85nm guide (F-12) 60nm guide (F-8) 93nm guide (F -15) 66nm guide (F-9) 89nm guide (F-17) 74nm guide (F -10) 99nm guide (F -1S) 118nm guide 107nm guide (A-10) (A-11) ` 1v ``l ` ` 97nm guide (A-15) kw, jgh 97nm guide (A -16) 118nm guide (A-12) V `.. 4 ` 114, 109nm guide (A-13) `LZZ ILIA= 103nm guide (A-17) 95nm guide (A-18) 1;1\1 :N \1 1 1:11.1: 1 `, 1 \1 \ i :.\ Figure 3. Programmed defect SEM observation examples, shrunken guide pattern (left) and expanded guide pattern (right) 109nm guide (A-14) 3.2 Programmed defect capture rate measurement To quantify the wafer inspection sensitivity of the selected optics conditions, we measured the capture rate of programmed defects. Our capture rate measurement focused on each specific programmed defect detected during ten repeat scans. For example, if one programmed defect is detected ten times during repeat scans, its capture rate is 100%. We can ignore guide pattern size variation and DSA defect reproducibility in this measurement method. We sampled multiple programmed defect locations with different capture rates. These results were then brought for SEM review to understand which defect type and guide pattern size causes a DSA defect. During this study, we ran 10 ten repeat scans with each of the identified BF and DF modes. Through this analysis, we were able to quantify the impact of printed guide pattern bias on DSA pattern formation by calculating the wafer inspection capture rate. If the printing bias is smaller than 10 nm from the center condition, no assembly or under sized assembled holes are observed. If the bias is larger than 10nm from the center condition, distortion or multiple assembly is observed. We observed capture rate differences between BF and DF mode dependent on defect type. We determined in order to maximize sensitivity, a two pass scan with BF and DF modes provides the best overall defect type coverage and sensitivity. No assembly / under hole size No failure Multiple assembly 1 distortion hole cv U,T r L` 1;v, r i.i 7 I:VL:1:, LLi_ l! "E) 40 r NA Center Guide pattern bias (nm) Figure 4. Wafer inspection capture rate for different guide pattern bias causing different defect types 3.3 Defect baseline study The process defectivity on a certain wafer was studied by using a two pass scan with BF and DF modes which was optimized using the programmed defects in section 3.2. All defects in a selection of 15 fields were reviewed. The inspected area used in this test was the area of the reticle field which does not contain the programmed defects. The results are shown in Figure 5. Proc. of SPIE Vol A-4

5 Bright field inspection mode Dark field inspection mode Composite Result Non Visual OSA Failure Embedded On Material Total 8.2 Figure 5. Defect category ratio by inspection mode and composite result From this experiment, we discovered that more than 98% of defects can be captured with DF inspection mode on this processed wafer. The defects detected only with BF inspection mode are less than 2% of total number of defects in this case. However, as process optimization continues this ratio may change dependent on the defect types seen to be most prevalent. The highest portion of defects on the wafer is on-material defects which accounts for 37.4% while the embedded material defect is 10.2%. On-material and embedded material defects are considered to be the defects which can be reduced by further optimization in wafer processing. The rate of DSA failure defect such as missing hole is low at 2.8%. DSA failure detected with BF mode is 0.6% and with DF mode is 2.8%. All DSA induced failures were captured with DF mode inspection on this wafer. From the programmed defect study, DSA failure which can be detected with the DF mode inspection was found to be guide resist pattern failures such as CD variation, missing hole or guide pattern distortion. On the other hand, from the programmed defect study, DSA failure which is detected with the BF mode inspection is due to DSA failure without any guide pattern defect. Those defects are only 0.6% of the total pareto. From the results, we consider that DSA baseline process defectivity will not be a critical issue. However, excursion monitoring for these critical patterning defects will be essential. Our project is now focused on improving defectivity for mass production. 4. CONCLUSION Inspection methods sensitive to patterning defects generated in the hole DSA grapho-epitaxy process are identified in this work. This inspection method allows the effective monitoring of DSA hole defectivity during process development. The use of a programmed defect reticle successfully creates DSA defects and enables efficient wafer inspection recipe optimization. Through programmed defect observation during SEM review, the impact of grapho epitaxy guide hole printing bias on DSA defectivity is confirmed. Wafer inspection sensitivity is also quantified by defect type by using repeat scans and capture rate analysis for guide hole bias. The analysis using the inspection data defines the DSA defectivity process window of the guide pattern. It is found that to maximize sensitivity a two pass scan with BF and DF modes provides the best overall defect type coverage and sensitivity. The performance of the two pass scan with BF and DF modes was also quantified by defect analysis on a nominally processed wafer without programmed defects. We believe the methodology for optimizing DSA defectivity monitoring will enable accelerated development of DSA process/process tools and the required inspection capability to monitor such a process. Proc. of SPIE Vol A-5

6 5. FUTURE WORK Defect simulations can be used to predict best modes and expected sensitivity to defects of interest. To allow faster on tool learning for next generation inspection tools on DSA contact patterns, we are investigating the accuracy of such methods for the structures and materials used in DSA grapho-epitaxy contact hole processes. The primary simulations method we are using is a Rigorous Coupled Wave Analysis (RCWA) method for solving Maxwell s equations with the capability for optical inspection systems to capture defects of interest over the system and wafer noise. The RCWA approach allows the development of empirical models based on performance of advanced optical systems, including the impact of noise reduction techniques. Also specific noise models related to contact hole size variation can be included in the analysis. The film stack impact of n and k to the incident illumination and boundary effects are included in the simulation process. Initial models have been completed without the addition of wafer noise, therefore modeling inspection system noise. The models correlate well with the on tool learning for recipe optimization. As process maturity increases the simulation is planned to be used for investigation of wafer noise impact on expected tool sensitivity and confirmation of the best mode selection. ACKNOWLEDGEMENTS We thank the Tokyo Ohaka Kogyo Co., Ltd. for supplying the guide resist samples and BCP samples for DSA. We also thank Hoya Corporation for their contribution in mask preparation. We also thank members in KLA Tencor Corporation, Tokyo Electron Ltd., Tokyo Electron Kyushu Ltd., Tokyo Electron America, Inc., Cadence Design Systems, Inc., for their discussion and support for this paper. REFERENCES [1] Black C. T., Ruiz R., Breyta G., Cheng J. Y., Colburn M. E., Guarini K. W., Kim H.-C., Zhang Y., "Polymer self assembly in semiconductor microelectronics," IBM Journal of Research and Development, vol.51, no.5, (2007). [2] Cheng J. Y., Rettner C. T., Sanders D. P., Kim H. C.; Hinsberg W. D., "Dense self-assembly on sparse chemical patterns: Rectifying and multiplying lithographic patterns using block copolymers," Advanced Materials, 20, (2008). [3] Ruiz R.; Kang H. M., Detcheverry F. A., Dobisz E., Kercher D. S., Albrecht T. R., de Pablo, J. J., Nealey P. F., "Density multiplication and improved lithography by directed block copolymer assembly," Science, 321, (2008). [4] Kim S. O., Solak H. H., Stoykovich M. P., Ferrier N. J., de Pablo J. J., Nealey P. F., "Epitaxial selfassembly of block copolymers on lithographically defined nanopatterned substrates," Nature, 424, (2003). [5] William Hinsberg, Joy Cheng, Ho-Cheol Kim, Daniel P. Sanders, Self-assembling materials for lithographic patterning: overview, status, and moving forward, Proc. SPIE. 7637, 76370G (2010). [6] Benjamen Rathsack, Mark Somervell, Josh Hooge, Makoto Muramatsu, Keiji Tanouchi, Takahiro Kitano, Eiichi Nishimura, Koichi Yatsuda, Seiji Nagahara, Iwaki Hiroyuki, Keiji Akai, Takashi Hayakawa, Pattern scaling with directed self assembly through lithography and etch process integration, Proc. SPIE. 8323, 83230B (2012). [7] Paulina A. Rincon Delgadillo, Roel Gronheid, Christopher J. Thode, Hengpeng Wu, Yi Cao, Mark Neisser, Mark Somervell, Kathleen Nafus, Paul F. Nealey, Implementation of a chemo-epitaxy flow for directed selfassembly on 300-mm wafer processing equipment, Journal of Micro/Nanolithography, MEMS, and MOEMS. 11(3), (2012). [8] Paulina A. Rincon Delgadillo, Roel Gronheid, Christopher J. Thode, Hengpeng Wu, Yi Cao, Mark Somervell, Kathleen Nafus, Paul F. Nealey, All track directed self-assembly of block copolymers: process flow and origin of defects, Proc. SPIE. 8323, 83230D (2012). Proc. of SPIE Vol A-6

7 [9] Roel Gronheid, Paulina A. Rincon Delgadillo, Todd R. Younkin, Ivan Pollentier, Mark Somervell, Joshua S. Hooge, Kathleen Nafus, Paul F. Nealey, Frequency multiplication of lamellar phase block copolymers with grapho-epitaxy directed self-assembly sensitivity to prepattern, Journal of Micro/Nanolithography, MEMS, and MOEMS. 11(3), (2012). [10] Mark Somervell, Roel Gronheid, Joshua Hooge, Kathleen Nafus, Paulina Rincon Delgadillo, Chris Thode, Todd Younkin, Koichi Matsunaga, Ben Rathsack, Steven Scheer, Paul Nealey, Comparison of directed selfassembly integrations, Proc. SPIE. 8325, 83250G (2012). [11] Makoto Muramatsu, Mitsuaki Iwashita, Takahiro Kitano, Takayuki Toshima, Mark Somervell, Yuriko Seino, Daisuke Kawamura, Masahiro Kanno, Katsutoshi Kobayashi, Tsukasa Azuma, Nanopatterning of diblock copolymer directed self-assembly lithography with wet development, Journal of Micro/Nanolithography, MEMS, and MOEMS. 11(3), (2012). [12] He Yi, Xin-Yu Bao, Jie Zhang, Richard Tiberio, James Conway, Li-Wen Chang, Subhasish Mitra, H.-S. Philip Wong, Contact-hole patterning for random logic circuits using block copolymer directed self-assembly, Proc. SPIE. 8323, 83230W (2012). [13] Yuriko Seino, Hiroki Yonemitsu, Hironobu Sato, Masahiro Kanno, Hikazu Kato, Katsutoshi Kobayashi, Ayako Kawanishi, Tsukasa Azuma, Makoto Muramatsu, Seiji Nagahara, Takahiro Kitano, Takayuki Toshima, Contact hole shrink process using directed self-assembly, Proc. SPIE. 8323, 83230Y (2012). [14] Chris Bencher, He Yi, Jessica Zhou, Manping Cai, Jeffrey Smith, Liyan Miao, Ofir Montal, Shiran Blitshtein, Alon Lavi, Kfir Dotan, Huixiong Dai, Joy Y. Cheng, Daniel P. Sanders, Melia Tjio, Steven Holmes, Directed self-assembly defectivity assessment. Part II, Proc. SPIE. 8323, 83230N (2012). [15] Todd R. Younkin, Roel Gronheid, Boon Teik Chan, Ainhoa Romo-Negreira, Kathleen Nafus, Mark H. Somervell, Paulina A. Rincon Delgadillo, Progress in directed self-assembly hole shrink applications, Proc. SPIE. 8682, S (2013), in press. Proc. of SPIE Vol A-7

ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION

ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION ADDRESSING THE CHALLENGES OF DIRECTED SELF ASSEMBLY IMPLEMENTATION ROEL GRONHEID, IVAN POLLENTIER (IMEC) TODD YOUNKIN (INTEL) MARK SOMERVELL, KATHLEEN NAFUS, JOSH HOOGE, BEN RATHSACK, STEVEN SCHEER (TOKYO

More information

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA Y. Seino, Y. Kasahara, H. Kanai, K. Kobayashi, H. Kubota, H. Sato, S. Minegishi, K. Miyagi, K. Kodera, N. Kihara,

More information

New Materials and Processes for Directed Self-Assembly

New Materials and Processes for Directed Self-Assembly New Materials and Processes for Directed Self-Assembly Shih-Wei Chang a, Jessica P. Evans c, Shouren Ge c, Valeriy V. Ginzburg b, John W. Kramer b, Brian Landes b, Christopher Lee a, Greg Meyers b, Daniel

More information

Maximize the benefits of DSA based on PS-PMMA block copolymer for high resolution patterning with novel BCP system

Maximize the benefits of DSA based on PS-PMMA block copolymer for high resolution patterning with novel BCP system Maximize the benefits of DSA based on PS-PMMA block copolymer for high resolution patterning with novel BCP system EMD Performance Materials Corp. Eri Hirahara, Margareta Paunescu, Orest Polishchuk, EunJeong

More information

Towards cost-effective and low defectivity DSA flows for line/space patterning

Towards cost-effective and low defectivity DSA flows for line/space patterning Towards cost-effective and low defectivity DSA flows for line/space patterning Hari Pathangi, Arindam Malik, B.T. Chan, Varun Vaid, Nadia Vandenbroeck, Roel Gronheid Jin Li, Baskaran Durairaj, JiHoon Kim,

More information

Supporting Online Material for

Supporting Online Material for www.sciencemag.org/cgi/content/full/321/5891/936/dc1 Supporting Online Material for Density Multiplication and Improved Lithography by Directed Block Copolymer Assembly Ricardo Ruiz,* Huiman Kang, François

More information

Key Technologies for Next Generation EUV Lithography

Key Technologies for Next Generation EUV Lithography Key Technologies for Next Generation EUV Lithography September 15, 2017 Toshi Nishigaki Vice President and General Manager Advanced Semiconductor Technology Division / Tokyo Electron Limited Toshi Nishigaki

More information

Filtration on block copolymer solution used in directed self assembly lithography

Filtration on block copolymer solution used in directed self assembly lithography Filtration on block copolymer solution used in directed self assembly lithography Toru Umeda*, Tomoyuki Takakura and Shuichi Tsuzuki Nihon Pall Ltd., 46 Kasuminosato, Ami-machi, Inashiki-gun, Ibaraki,

More information

Development of block copolymer systems for directed self assembly at the University of Queensland

Development of block copolymer systems for directed self assembly at the University of Queensland Development of block copolymer systems for directed self assembly at the University of Queensland Imelda Keen, Han-Hao Cheng, Anguang Yu, Thomas Bennett, Ya-Mi Chuang, Kevin Jack, Kristofer Thurecht Andrew

More information

Pattern Dependent Satellite Defects in Via Lithography

Pattern Dependent Satellite Defects in Via Lithography Pattern Dependent Satellite Defects in Via Lithography Chih-Chieh Yu*, Mars Yang, Elvis Yang, T. H. Yang, K. C. Chen and Chih-Yuan Lu Macronix International Co. Ltd, No. 16, Li-Hsin Rd., Science Park,

More information

Defect mitigation and root cause studies in IMEC's 4 nm halfpitch chemo-epitaxy DSA flow

Defect mitigation and root cause studies in IMEC's 4 nm halfpitch chemo-epitaxy DSA flow Defect mitigation and root cause studies in IMEC's 4 nm halfpitch chemo-epitaxy DSA flow Hari Pathangi a*, Boon Teik Chan a, Hareen Bayana a, Nadia Vandenbroeck a, Dieter Van Den Heuvel a, Lieve Van Look

More information

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology April 2010 update SNL Geoff Brennecka (PI) Bruce Burckel Matt George Jack Skinner

More information

EUV patterning improvement toward high-volume manufacturing

EUV patterning improvement toward high-volume manufacturing P63 EUV patterning improvement toward high-volume manufacturing 2015 International Workshop on EUV Lithography Tokyo Electron Kyushu Ltd. / SPE process dept. Y. Kuwahara, K. Matsunaga, K. Nafus, S. Kawakami

More information

Agenda. Keynote Presentation: Memory Trends and Implications for Lithography and DSA

Agenda. Keynote Presentation: Memory Trends and Implications for Lithography and DSA Agenda Sunday, October 25, 2015 16:30 19:00 Opening Reception and Poster Session Monday, October 26, 2015 9:00 9:15 Opening Remarks 9:15 9:50 Keynote Presentation: Memory Trends and Implications for Lithography

More information

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Jan Mulkens, Bob Streefkerk, Hans Jasper, Jos de Klerk, Fred de Jong, Leon Levasier and Martijn Leenders.

More information

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Use of Spin-On-Hard Mask Materials for nano scale patterning technology Use of Spin-On-Hard Mask Materials for nano scale patterning technology Wen-Hao Wu*, Edward Y. Chang, National Chiao Tung University, Department of Materials Science and Engineering 1001 Ta-Hsueh Rd.,

More information

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea EUVL Activities in Korea Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea Who are interested in EUVL? Device manufacturer and material supplier -Samsung : NAND Flash,

More information

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography Michael Swope, Vandana Krishnamurthy, Zhimin Zhu, Daniel Sullivan, Sean Simmons, Chris Cox, Randy Bennett,

More information

Lithography. Enhancing Overlay Metrology Productivity and Stability Using an Off-line Recipe Database Manager

Lithography. Enhancing Overlay Metrology Productivity and Stability Using an Off-line Recipe Database Manager Lithography S P E C I A L Enhancing Overlay Metrology Productivity and Stability Using an Off-line Recipe Database Manager by Stephen J. DeMoora, Stephanie Hilbun, George P. Beck III, Kristi L. Bushmana,

More information

Improved overlay control using robust outlier removal methods

Improved overlay control using robust outlier removal methods Improved overlay control using robust outlier removal methods John C. Robinson 1, Osamu Fujita 2, Hiroyuki Kurita 2, Pavel Izikson 3, Dana Klein 3, and Inna Tarshish-Shapir 3 1 KLA-Tencor Corporation,

More information

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work.

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work. 2. Experimental conditions Table 1 shows compositions of top coat and non top coat resist materials evaluated in this work. Resist A is a conventional ArF resist using immersion top coat. Resists B, C,

More information

Microbridge reduction in negative tone imaging at photoresist pointof-use

Microbridge reduction in negative tone imaging at photoresist pointof-use Microbridge reduction in negative tone imaging at photoresist pointof-use filtration Toru Umeda* a, Tsukasa Yamanaka b, Naoya Iguchi b, Shuichi Tsuzuki a a Nihon Pall Ltd., 46 Kasuminosato, Ami-machi,

More information

A simulation analysis on defect annihilation in directed self-assembly lithography

A simulation analysis on defect annihilation in directed self-assembly lithography A simulation analysis on defect annihilation in directed self-assembly lithography Katsuyoshi Kodera, Hideki Kanai, Yuriko Seino, Hironobu Sato, Yusuke Kasahara, Katsutoshi Kobayashi, Hiroshi Kubota, Naoko

More information

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II) Study of ADI (After Develop Inspection) n Photo Resist Wafers Using Electron Beam (II) Teruyuki Hayashi a, Misako Saito a, Kaoru Fujihara a,setsuko Shibuya a,y.kudou a,hiroshi Nagaike b Joseph Lin c,jack

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

Advanced Polymers And Resists For Nanoimprint Lithography

Advanced Polymers And Resists For Nanoimprint Lithography Q U A L I T Y A S S U R A N C E MICROSYSTEMS & NANOSYSTEMS SPECIAL REPORT Advanced Polymers And Resists For Nanoimprint Lithography Numerous polymer systems specifically designed for nanoimprint lithography

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

NIL defect performance toward High volume mass production

NIL defect performance toward High volume mass production NIL defect performance toward High volume mass production Masayuki Hatano a, Kei Kobayashi a, Hiroyuki Kashiwagi a, Hiroshi Tokue a, Takuya Kono a, Nakasugi Tetsuro a, Eun Hyuk Choi b, Wooyung Jung b a

More information

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc. Jinho Ahn Device manufacturer and material supplier -Samsung : DRAM, Logic, High-end Foundry -SK hynix: DRAM -Kumho Petrochemical: Photoresist Academia and Research Institute -Hanyang Univ.: strongest

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Cu/low κ. Voids, Pits, and Copper

Cu/low κ. Voids, Pits, and Copper Cu/low κ S P E C I A L s, Pits, and Copper Judy B Shaw, Richard L. Guldi, Jeffrey Ritchison, Texas Instruments Incorporated Steve Oestreich, Kara Davis, Robert Fiordalice, KLA-Tencor Corporation As circuit

More information

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Hitachi Review Vol. 65 (2016), No. 7 233 Featured Articles Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices Ion-beam-based Photomask Defect Repair

More information

High-resolution defect metrology for silicon BARC analysis

High-resolution defect metrology for silicon BARC analysis High-resolution defect metrology for silicon BARC analysis Brian Smith a, Steve McGarvey b, Zhimin Zhu a, Yubao Wang a, and Dan Sullivan a a Brewer Science, Inc., 2401 Brewer Drive, Rolla, MO, USA 65401-7003

More information

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS Roxann L. Engelstad, Venkata Siva R. Battula, Pradeep Vukkadala, Andrew R. Mikkelson, Madhura Nataraju, and Kevin T. Turner Computational

More information

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks: Remaining challenges for HVM Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES) EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting

More information

Macrophase Separation Using Block Copolymer Blends

Macrophase Separation Using Block Copolymer Blends Macrophase Separation Using Block Copolymer Blends Rachel Philiph 1,2, Kameron Oser 1, Sam Nicaise 1, and Karl Berggren 1 1 Department of Electrical Engineering and Computer Science, Massachusetts Institute

More information

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Mask Defect Auto Disposition based on Aerial Image in Mask Production Mask Defect Auto Disposition based on Aerial Image in Mask Production C.Y. Chen a, Laurent Tuo a, C. S. Yoo a, Linyong Pang b, Danping Peng b, Jin Sun b a E-Beam Operation Division, Taiwan Semiconductor

More information

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity

Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity Understanding Process and Design Systematics: Case Study on Monitoring Strategy and Understanding Root Cause of Fin Defectivity Alisa Blauberg, Vikas Sachan, John Lemon, Garo Derderian GLOBALFOUNDRIES

More information

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production Patterning Capability and Limitations by Pattern Collapse in 4nm and below Node Photo Mask Production Guen-Ho Hwang, Manish Patil, Soon-Kyu Seo, Chu-Bong Yu, Ik-Boum Hur, Dong Hyun Kim, Cheol Shin, Sung-Mo

More information

Lessons Learned from SEMATECH s Nanoimprint Program

Lessons Learned from SEMATECH s Nanoimprint Program Accelerating the next technology revolution Lessons Learned from SEMATECH s Nanoimprint Program Matt Malloy Lloyd C. Litt Mac Mellish 10/19/11 Copyright 2010 SEMATECH, Inc. SEMATECH, and the SEMATECH logo

More information

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride Journal of Photopolymer Science and Technology Volume 8, Number () 7 SPST Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride Takuya Hagiwara, Kentaro Saito, Hiraku Chakihara,

More information

Lithography options for the 32nm half pitch node. imec

Lithography options for the 32nm half pitch node. imec Lithography options for the 32nm half pitch node imec 2006 1 Lithography options for the 32nm half pitch node Luc Van den hove and Kurt Ronse ITRS roadmap:32 nm half pitch requirement Product Half-Pitch,

More information

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE EUV HISTORY AT IMEC OVER 10 YEARS OF EUV EXPOSURE TOOLS AT IMEC 2006-2011 2011-2015 2014 - present ASML Alpha-Demo tool 40nm 27nm

More information

PLASMONIC STRUCTURES IN PMMA RESIST

PLASMONIC STRUCTURES IN PMMA RESIST PLASMONIC STRUCTURES IN PMMA RESIST Michal URBÁNEK a, Stanislav KRÁTKÝ a, MARCEL ŠIMÍK b, Vladimír KOLAŘÍK a, Miroslav HORÁČEK a, Milan MATĚJKA a a Institute of Scientific Instruments of the ASCR, v.v.i.,

More information

Advanced Lithography Updates and Challenges for Metrology and Inspection

Advanced Lithography Updates and Challenges for Metrology and Inspection Advanced Lithography Updates and Challenges for Metrology and Inspection Center for Semiconductor Research & Development Advanced Lithography Process Technology Dept. Tatsuhiko Higashiki Contents Device

More information

Templated self-assembly of Si-containing block copolymers for nanoscale device fabrication

Templated self-assembly of Si-containing block copolymers for nanoscale device fabrication Templated self-assembly of Si-containing block copolymers for nanoscale device fabrication C.A. Ross, Y.S. Jung, V.P. Chuang, J.G. Son, K.W. Gotrik, R.A. Mickiewicz, J.K.W. Yang*, J.B. Chang, K.K. Berggren*,

More information

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Metal Oxide EUV Photoresists for N7 Relevant Patterns Metal Oxide EUV Photoresists for N7 Relevant Patterns Stephen T. Meyers, Andrew Grenville 2016 International Workshop on EUV Lithography Resists Designed for EUV Lithography Integration Stochastic Variability

More information

A novel 248-nm wet-developable BARC for trench applications

A novel 248-nm wet-developable BARC for trench applications A novel 248-nm wet-developable BARC for trench applications Charles J. eef & Deborah Thomas Brewer Science, Inc., 2401 Brewer Dr., Rolla, M, 65401 USA ABSTRACT A novel polyamic acid based, 248-nm wet-developable

More information

2005 ANNUAL REPORT 2005 ANNUAL REPORT

2005 ANNUAL REPORT 2005 ANNUAL REPORT Enabling Semiconductor Productivity 2005 ANNUAL REPORT 2005 ANNUAL REPORT INCREASING NEED FOR PROCESS CONTROL Growing demand for content-intensive personal technologies from GPS devices to MP3 players

More information

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET

Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET DATASHEET WorkBench Productivity environment for OPC development and optimization Overview WorkBench (PWB) is Synopsys powerful cockpit tool for development and optimization of -based mask synthesis solutions.

More information

Block copolymer multiple patterning integrated with conventional ArF lithography

Block copolymer multiple patterning integrated with conventional ArF lithography PAPER www.rsc.org/softmatter Soft Matter Block copolymer multiple patterning integrated with conventional ArF lithography Seung Hak Park, a Dong Ok Shin, a Bong Hoon Kim, a Dong Ki Yoon, b Kyoungseon Kim,

More information

SEMI P10 for Automated Mask Orders

SEMI P10 for Automated Mask Orders SEMI P10 for Automated Mask Orders Prepared for International Sematech Mask Automation Workshop February 9, 2005 Wes Erck SEMI P10 Task Force Leader SEMI NA Microlithography Committee Co-Chair SEMI P10

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

Photoresist and Process Issues on 193nm Immersion Lithography

Photoresist and Process Issues on 193nm Immersion Lithography Photoresist and Process Issues on 193nm Immersion Lithography Jin-Young Yoon, Jung-Hwan Hah, Yun-Kyung Jang, Mitsuhiro Hata, Hyung-Rae Lee, Chan Hwang, Young-Jae Jung, Shi-Yong Lee, Yool Kang, Hyun-Woo

More information

Fabrication Technology, Part I

Fabrication Technology, Part I EEL5225: Principles of MEMS Transducers (Fall 2003) Fabrication Technology, Part I Agenda: Oxidation, layer deposition (last lecture) Lithography Pattern Transfer (etching) Impurity Doping Reading: Senturia,

More information

Aligned Sub-10-nm Block Copolymer Patterns Templated by Post Arrays

Aligned Sub-10-nm Block Copolymer Patterns Templated by Post Arrays Aligned Sub-10-nm Block Copolymer Patterns Templated by Post Arrays The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

UHF-ECR Plasma Etching System for Gate Electrode Processing

UHF-ECR Plasma Etching System for Gate Electrode Processing Hitachi Review Vol. 51 (2002), No. 4 95 UHF-ECR Plasma Etching System for Gate Electrode Processing Shinji Kawamura Naoshi Itabashi Akitaka Makino Masamichi Sakaguchi OVERVIEW: As the integration scale

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Electron Beam Column electron gun beam on/of control magnetic deflection

More information

Nanotechnology makes brighter LED s. Michael P.C. Watts

Nanotechnology makes brighter LED s. Michael P.C. Watts Nanotechnology makes brighter LED s Michael P.C. Watts www.impattern.com Outline Why are LED s such a big deal? Brightness; lumens per watt & lumens per dollar Applications How does nanotechnology help?

More information

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators Benjamen M. Rathsack 1, Cyrus E. Tabery 1, Cece Philbin 2 and C. Grant Willson

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

Introduction to Nanoscience and Nanotechnology

Introduction to Nanoscience and Nanotechnology Introduction to Nanoscience and Nanotechnology ENS 463 2. Principles of Nano-Lithography by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 Office 4N101b 1 Lithographic patterning

More information

2010 NMS RESEARCH NEEDS IN PATTERNING, NANOENGINEERED MATERIALS, AND METROLOGY July 8, 2010

2010 NMS RESEARCH NEEDS IN PATTERNING, NANOENGINEERED MATERIALS, AND METROLOGY July 8, 2010 Introduction Patterning and nanoengineered materials are recognized today as critical to the success of high volume device manufacturing, with enhanced functional density. It also is understood that unless

More information

Directed Self Assembly of Block Copolymers

Directed Self Assembly of Block Copolymers Directed Self Assembly of Block Copolymers for CMOS technology R. Tiron, S.Tedesco, C.Couderc, J.Pradelles, S.Gaugiran, C.Lapeyre, L.Pain, CEA-LETI LoreaOrea, Francesc Perez-Murano, CNM AlaitzRuiz de Luzuriaga,

More information

ASML - A strong company on a growth trajectory

ASML - A strong company on a growth trajectory ASML - A strong company on a growth trajectory Franki D Hoore Director European Investor Relations Cheuvreux European IT and Technology Conference Paris, 8 March, 2007 Safe Harbor Safe Harbor Statement

More information

Process Control and Yield Management Strategies in HBLED Manufacturing

Process Control and Yield Management Strategies in HBLED Manufacturing Process Control and Yield Management Strategies in HBLED Manufacturing Srini Vedula, Mike VondenHoff, Tom Pierson, Kris Raghavan KLA-Tencor Corporation With the explosive growth in HBLED applications including

More information

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications Joyce Lowes a, Victor Pham b, Jim Meador a, Charlyn Stroud a, Ferdinand Rosas b, Ramil-Marcelo L. Mercado a, Mark

More information

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 205 Supplementary Information for: Single-digit-resolution nanopatterning with extreme ultraviolet

More information

EUV Mask Defect Reduction : Status and Challenges

EUV Mask Defect Reduction : Status and Challenges EUV Mask Defect Reduction : Status and Challenges Brian BC Cha*, Inyong Kang, Wonsuk Ahn, Sanghyun Kim, Hwanseok Seo, Suyoung Lee, Hanshin Lee, Sungmin Huh, Wonil Cho, Jihoon Na, Hoon Kim, *bccha@samsung.com

More information

Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs. David W. Price, Ph.D. Robert J. Rathert April, 2017

Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs. David W. Price, Ph.D. Robert J. Rathert April, 2017 Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs David W. Price, Ph.D. Robert J. Rathert April, 2017 Outline 1. Introduction 2. BKM s to Minimize Latent Reliability

More information

EUV Products and Business Opportunity

EUV Products and Business Opportunity EUV Products and Business Opportunity Christophe Fouquet Executive Vice President Business Line EUV ASML EUV Lithography product and business opportunity Key Messages ASML EUV lithography extends our Logic

More information

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications DESCRIPTION UV5 positive DUV photoresist has been optimized to provide vertical profile imaging of isolated and semidense features for device

More information

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width Microelectronics Introduction to the IC technology M.Rencz 11 September, 2002 9/16/02 1/37 Integrated circuits Development is controlled by the roadmaps. Self-fulfilling predictions for the tendencies

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine Nanoimprinting in Polymers and Applications in Cell Studies Albert F. YEE Chemical Engineering & Materials Science UC Irvine Presentation outline Motivation Reversal imprinting Soft inkpad imprinting on

More information

Advances in Process Overlay - ATHENA Alignment System Performance on Critical Process Layers

Advances in Process Overlay - ATHENA Alignment System Performance on Critical Process Layers Advances in Process Overlay - ATHENA Alignment System Performance on Critical Process Layers David Laidler 1, Henry Megens 2, Sanjay Lalbahadoersing 2, Richard van Haren 2, Frank Bornebroek 2 1 IMEC, Kapeldreef

More information

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance Copyright 2011 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoscience and Nanotechnology Vol. 11, 1 6, 2011 In-Situ Monitoring of Pattern Filling

More information

In-situ Metrology for Deep Ultraviolet Lithography Process Control

In-situ Metrology for Deep Ultraviolet Lithography Process Control In-situ Metrology for Deep Ultraviolet Lithography Process Control Nickhil Jakatdar 1, Xinhui Niu, John Musacchio, Costas J. Spanos Dept. of Electrical Engineering and Computer Sciences, University of

More information

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST H. Elsner and H.-G. Meyer Institute for Physical High Technology (IPHT), Dept. of Cryoelectronics,

More information

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials Introduction to Micro/Nano Fabrication Techniques Date: 2015/05/22 Dr. Yi-Chung Tung Fabrication of Nanomaterials Top-Down Approach Begin with bulk materials that are reduced into nanoscale materials Ex:

More information

Fabrication of photonic band-gap crystals

Fabrication of photonic band-gap crystals Fabrication of photonic band-gap crystals C. C. Cheng and A. Scherer California Institute of Technology, Pasadena, California 91125 Received 19 June 1995; accepted 9 August 1995 We describe the fabrication

More information

A Novel Extrusion Microns Embossing Method of Polymer Film

A Novel Extrusion Microns Embossing Method of Polymer Film Modern Mechanical Engineering, 2012, 2, 35-40 http://dx.doi.org/10.4236/mme.2012.22005 Published Online May 2012 (http://www.scirp.org/journal/mme) A Novel Extrusion Microns Embossing Method of Polymer

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Regents of the University of California

Regents of the University of California Topography Issues Degradation of lithographic resolution PR step coverage, streaking Thickness differences pose problems for reduction steppers Direction of Spin PR PR PR Stringers Problematic when using

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

Imprint lithography for curved cross-sectional structure using replicated Ni mold

Imprint lithography for curved cross-sectional structure using replicated Ni mold Imprint lithography for curved cross-sectional structure using replicated Ni mold Yoshihiko Hirai, a) Satoshi Harada, Hisao Kikuta, and Yoshio Tanaka Mechanical System Engineering, Graduate School of Engineering,

More information

Study of the resist deformation in nanoimprint lithography

Study of the resist deformation in nanoimprint lithography Study of the resist deformation in nanoimprint lithography Yoshihiko Hirai, a) Masaki Fujiwara, Takahiro Okuno, and Yoshio Tanaka Department of Mechanical System Engineering, Graduate School of Engineering,

More information

Understanding and Reducing Copper Defects

Understanding and Reducing Copper Defects Understanding and Reducing Copper Defects Most high-performance logic manufacturers are by now developing, piloting or producing copper-based circuits. There are a number of companies that introduced copper

More information

Development of System in Package

Development of System in Package Development of System in Package In recent years, there has been a demand to offer increasingly enhanced performance for a SiP that implements downsized and lower-profile chips at lower cost. This article

More information

Regents of the University of California 1

Regents of the University of California 1 Electroplating: Metal MEMS Nickel Surface-Micromachining Process Flow Photoresist Wafer Release Etchant Use electroplating to obtain metal μstructures When thick: call it LIGA Pros: fast low temp deposition,

More information

Improving material-specific dispense processes for low-defect coatings

Improving material-specific dispense processes for low-defect coatings Improving material-specific dispense processes for low-defect coatings Nick Brakensiek *a, Jennifer Braggin b, John Berron a, Raul Ramirez b, Karl Anderson b, Brian Smith a a Brewer Science, Inc., 2401

More information

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity

More information

Cu-Al intermetallic growth behaviour study under high temperature thermal aging

Cu-Al intermetallic growth behaviour study under high temperature thermal aging Cu-Al intermetallic growth behaviour study under high temperature thermal aging C.L Cha, H.J Chong, Yaw HG, Chong MY, Teo CH Infineon Technologies, Melaka, Malaysia Abstract Copper (Cu) wire always gains

More information

EUV Masks: Remaining challenges for HVM

EUV Masks: Remaining challenges for HVM EUV Masks: Remaining challenges for HVM Pawitter Mangat June 13, 2013 EUV Masks Challenge Pyramid Zero defect printability needs a lot of Mask supporting infrastructure HVM Storage Mask Lifetime Mask in-fab

More information

Extending the scope of poly(styrene)-block-poly(methyl methacrylate) for directed self assembly.

Extending the scope of poly(styrene)-block-poly(methyl methacrylate) for directed self assembly. Extending the scope of poly(styrene)-block-poly(methyl methacrylate) for directed self assembly. Thomas Bennett, a,b Kevin Pei, a Han Hao Cheng, c Kristofer J. Thurecht, a,b Kevin S. Jack, d and Idriss

More information

KrF Excimer Laser Micromachining of Silicon for Micro- Cantilever Applications

KrF Excimer Laser Micromachining of Silicon for Micro- Cantilever Applications OPEN ACCESS Conference Proceedings Paper Sensors and Applications www.mdpi.com/journal/sensors KrF Excimer Laser Micromachining of Silicon for Micro- Cantilever Applications A.F.M. Anuar 1*, Y. Wahab,

More information

Amorphous Carbon Hard Mask for Multiple Patterning Lithography

Amorphous Carbon Hard Mask for Multiple Patterning Lithography Amorphous Carbon Hard Mask for Multiple Patterning Lithography TIMOTHY D. HORN 33 RD ANNUAL MICROELECTRONIC ENGINEERING CONFERENCE RIT MICROELECTRONIC ENGINEERING Outline I. Background carbon hard mask

More information

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS Since Ag 2 Ga nanoneedles can be directly grown on (or even in place of) the tips on AFM cantilevers using the pulling technique

More information

EUV Technology, Martinez, CA

EUV Technology, Martinez, CA Compact in-line EUV Laser Plasma Reflectometer for the measurement reflectivity and uniformity of EUV Lithography Mask Blank Multilayer Coatings Rupert C. C. Perera & James H. Underwood EUV Technology,

More information