Invited paper. Issues on nanoimprint lithography with a single-layer resist structure. Applied Physics A Materials Science & Processing

Size: px
Start display at page:

Download "Invited paper. Issues on nanoimprint lithography with a single-layer resist structure. Applied Physics A Materials Science & Processing"

Transcription

1 Appl. Phys. A 81, (2005) DOI: /s g.y. jung 1 w. wu 1 s. ganapathiappan 1 d.a.a. ohlberg 1 m. saif islam 2 x. li 1 d.l. olynick 3 h. lee 4 y. chen 5 s.y. wang 1 w.m. tong 1,6 r.s. williams 1, Issues on nanoimprint lithography with a single-layer resist structure Applied Physics A Materials Science & Processing 1 Hewlett Packard Laboratories, 1501 Page Mill Road, Palo Alto, CA 94304, USA 2 Department of Electrical and Computer Engineering, University of California, Davis, CA 95616, USA 3 Lawrence Berkeley National Laboratory, 1 Cyclotron Road, MS , Berkeley, CA 94720, USA 4 Division of Materials Science and Engineering, Korea University, 5Ga-1, Anam-Dong, Sungbuk-Gu, Seoul , Korea 5 Department of Mechanical and Aerospace Engineering, UCLA, CA 90024, USA 6 Technology Development Operations, Inkjet Technology Platform, Hewlett Packard Company, 1000 Circle Boulevard, Corvallis, OR 97330, USA Invited paper Received: 30 May 2005/Accepted: 3 June 2005 Published online: 4 August 2005 Springer-Verlag 2005 ABSTRACT We summarize our key developments in nanoimprint lithography (NIL) that employs a single layer resist lift-off process: lowering of the imprint temperature (for thermal imprint) and pressure, achieving uniform resist thickness and low residual resist layer thickness in the trenches, and eliminating metal rabbit ears for the single-layer lift-off. In thermal NIL, our requirements for lower operating temperature and pressure motivated us to develop an alternative resist that is a viscous fluid at room temperature and cures at a lower temperature of 70 C than the operating temperature of the conventional thermal NIL ( 200 C). For UV NIL, we devised a method to dispense the resist onto a hydrophobic mold and use the hydrophilic substrate surface to spread the resist via surface wetting to engineer a continuous and uniform film. We also explored the use of Si(110) substrates as molds to produce features with perfectly vertical side walls, and the use of aqua regia to directly etch away rabbit ears. PACS h; Nd; Rf 1 Introduction Nanoimprint lithography (NIL) [1, 2] has gained acceptance in recent years as a viable low-cost alternative to photolithography for the patterning of nanoscale features. It uses direct contact between the mold (or template) and the thermoplastic or UV-curable resist to imprint the pattern and, unlike photolithography, does not require expensive optics to image sub-wavelength features. At Hewlett Packard Labs, we were motivated to develop NIL because we wanted to fabricate nanoscale devices and circuits with feature sizes beyond those obtainable by commercially available photolithography. We have reported using a single-layer NIL process to fabricate non-volatile cross-bar memory devices at 65 nm half pitch (hp) with a thermally cured resist process [3] and at 50 nm hp with a UV resist process [4]. We have encountered issues that were universal for NIL: lowering of the imprint temperature (for thermal imprint) and Fax: , stan.williams@hp.com pressure, achieving uniform resist thicknessand low residual resist layer thickness in the trenches, and eliminating metal rabbit ears for the single-layer lift-off. This paper summarizes the results of our development. 2 New thermal NIL resist and process to lower imprint temperature and pressure Poly(methyl methacrylate) (PMMA) has been widely used as the thermoplastic polymer in NIL despite its requirements for high operating temperature ( 200 C)and pressure ( 2000 psi). In the PMMA process, a spin-coated film is baked to remove any residual solvent, resulting in a hard polymer film. Such a film is essentially a solid, requiring a high imprint temperature (typically more than 90 C above the glass-transition temperature T g ) to make it soft, and a high imprint pressure to ensure that it flows into the grooves of the mold. High temperatures cause layer-to-layer misalignment and are detrimental to our molecular switching media. High pressures are detrimental to the nanoscale features on the mold. These reasons motivated us to seek a different approach to the thermal nanoimprint process. We employed as our resist poly(benzyl methacrylate) (PBMA) that was dissolved in its own monomer (BMA) rather than in a separate solvent. The PMBA/BMA mixture was formulated to contain mostly the monomer so that, like PMMA, it could be spin coated on the substrate. A key advantage of our process is that the resist film, which contains mostly the monomer BMA, is a viscous fluid at room temperature and can fill the fine openings of the mold easily under lower hydrostatic pressure. The percentage of polymer in the monomer solution is critical. A solution with high polymer content would be too viscous to spread evenly under low pressure, leading to a non-uniform film. However, a solution with a low polymer content would dry too quickly before imprinting. We found from a series of experiments that an 8% solution of polymer in monomer was optimal. An initiator was added to this solution to polymerize the monomer at a lower setting temperature. The procedure in our approach is different from that for the conventional thermal imprint with PMMA: in our method, the resist is a liquid instead of a solid at room temperature, so a lower pressure of 200 psi is applied before instead of

2 1332 Applied Physics A Materials Science & Processing HP resist PMMA Material Temperature Above decomposition 90 C above T g temperature of initiator (> 180 C) (70 C in our case) Dissolved in Its monomer (BMA) Chlorobenzene Post-application Not required Required bake State at room Viscous liquid Solid temperature Process sequence Pressure Temperature Temperature Pressure psi 70 C 190 C 2000 psi TABLE 1 Comparison between the thermal imprint processes that employ the HP resist and PMMA after the heating step. Then, the temperature is raised to the initiator s decomposition temperature, which is significantly lower than the temperature required for the conventional process ( 200 C). In our case, the patterned resist is heated only to 70 C to trigger the polymerization process and solidify the film. Table 1 compares our process with the conventional one [5]. Figure 1 shows a cross-sectional view of imprinted polymer resist patterned with our method and resist formulation. Although no residual layer was observed at the bottom of the trenches by cross-sectional scanning electron microscopy (SEM), a six-second de-scum step was performed before metal deposition to ensure that the trenches were free of resist. The short de-scum time also ensures that there was a high thickness uniformity of the resist film after imprinting. Figure 2 shows an 8 8 cross-bar memory structure at 65 nm hp with a cell density of 6.4Gbit/cm 2. It was fabricated by FIGURE 1 SEM image of sectional view of the thermally imprinted resist, showing no residual layer at the bottom of the trench FIGURE 2 Eight by eight cross-bar memory circuit. The switching molecules were sandwiched between two sets of eight nanowires fabricated by thermal imprint lithography and lift-off. The cell density is 6 Gbit/cm 2 a sequence of two imprints as described above at 90 to each other. 3 Air-free and uniform resist film by surface engineering UV NIL, also known as step and flash imprint lithography (SFIL), has emerged to generate nanoscale patterns at room temperature and at a low pressure by replacing the thermal resist with a UV-curable resist. Its key advantage over thermal NIL is that it does not require a heating step, which is detrimental to our switching molecules and to maintaining good alignment. However, the requirements for high thickness uniformity and for a thin residual layer with a low imprinting pressure remain. We devised a new method to satisfy these requirements. The mold surface by necessity has been rendered extremely hydrophobic (water contact angle > 110 ) because it has been treated with a mold-releasing agent [6] to facilitate mold substrate detachment after imprint. When the liquid resist is directly applied to the mold, it stays as a drop and does not spread. On the other hand, the substrate surface can be made hydrophilic (i.e. with a high free energy) to facilitate the spreading of the resist, such as treating with a piranha solution and/or water-vapor plasma. However, if applied directly to such a substrate, the resist would spread in an uncontrolled manner. Moreover, when the mold is placed onto an alreadyspread liquid resist film, air is inevitably trapped in the resist pattern, particularly in the nanoscale features. We devised a method that takes advantage of the opposing properties of the two surfaces: on the mold we applied a small drop of the liquid resist, which stayed localized as a small bead due to the low free energy of the mold surface; then we placed the substrate on the bead. The hydrophilic surface of the substrate acted to spread the resist droplet uniformly, pulling the resist across the mold surface by the wetting action of the resist and substrate. Figure 3 shows how the resist spread with time after contact. Trapped air was seen near the

3 JUNG et al. Issues on nanoimprint lithography with a single-layer resist structure 1333 FIGURE 4 SEM image of 34-cross-bar structure with an equivalent cell density of 10 Gbit/cm 2, fabricated with the resist-spread process described in Sect. 3 sidual resist, and the sample was irradiated with UV light to cure the resist. Figure 4 shows the cross-bar structure that was fabricated with the above method. Two sets of 34 parallel nanowires at 50 nm hp were successively imprinted at 90 to each other, forming a cross-bar structure that had an equivalent cell density of 10 Gbit/cm 2. 4 Overcoming the challenge of rabbit ears FIGURE 3 Images showing the spread of the resist by the method describedinsect.3.(a) 5min,(b) 10min,and(c) 30 min after substrate/mold contact edges of the sample initially, but was pushed out as the resist occupied the channels. The interference fringes, which were caused by the thickness difference of liquid resist, disappeared with time, illustrating the flow of the liquid from the spreading drop to empty areas to produce a uniform film. The spread time increases with both the amount and the viscosity of the resist used. That is why we employed a small amount of the liquid (0.2 µl for a 1-in 2 substrate) and formulated it to have a low viscosity. On the sample shown in Fig. 6, the resist spread across the entire sample area after 30 min.however,at this stage the contact between the two surfaces was still not perfectly conformal across the entire substrate because of the inevitable nanoscale difference in flatness between the mold and the substrate. This would have resulted in a significant residual resist layer under the trenches of the resist layer. The mold and the substrate, now bonded tightly together by the liquid resist, were placed in the nanoimprinter. A hydrostatic pressure of about 20 psi was applied to squeeze out the re- In any lift-off process, an undercut or a negativesloped resist profile is generally preferred to ensure no deposition on the side wall of the resist. Otherwise, metal protrusions, which look like rabbit ears, can form after lift-off. However, it is very difficult to achieve such profiles in NIL because it will result in mechanical locking between the mold and the resist patterns. Figure 5a shows a SEM image of a typical mold, which has side walls that were neither smooth nor vertical. The slope would be directly imprinted into the resist, resulting in metal deposition onto the side wall. Figure 5b shows an atomic force microscopy (AFM) topograph of 34 metal wires and their fan-out structure after imprinting with such a mold. The bright spots are rabbit ears that would electrically short the top electrodes, so they needed to be removed before the top electrodes were fabricated on top of them. One common approach is to switch to a bilayer process that uses the NIL-patterned top layer as an etch mask to produce an undercut in the bottom, but such a process requires additional processing steps that make it much more time consuming. Viable alternatives that employ a single-layer resist when scaled up would be more cost effective. We discuss our exploratory work on two such alternatives below. The first alternative is to employ a Si(110) substrate as the mold. The advantage of Si(110) is that it can be etched with KOH to obtain trenches with perfectly vertical side walls formed by the Si(111) planes, because the KOH etch rate for the (110) plane is 100 times faster than that for the (111)

4 1334 Applied Physics A Materials Science & Processing FIGURE 5 (a) SEM image of nanowire features on the mold with rough and sloped side walls; (b) AFM image of 34 metal nanowires and their fanout structure imprinted by the mold in (a), showing rabbit ears at the edge of the wires FIGURE 7 Aqua regia solution etching of rabbit ears. AFM images of metal patterns (a) before etching and (b) after a 5-min dip into the aqua regia solution. (c) High-resolution scan and profile of the area circled in (b), showing a smooth metal surface with no rabbit ears technique does introduce new steps to mold making and is not applicable to complex patterns, our preliminary results show its promise. Another alternative is to directly etch the metal rabbit ears chemically. An aqua regia solution of HCl:HNO 3 (1:3 by volume) can etch platinum at room temperature. Because the rabbit ears are nearly vertical and therefore exposed to the etch solution on both sides, their etch rate should be at least twice that for the nanowires, which are only exposed on the top side. Our experimental results are shown in Fig. 7. Figure 7a shows an AFM topograph of the nanowires immediately after lift-off, showing clear rabbit ears in both the nanowires and fan-out regions. After dipping the sample into the aqua regia solution for 5 min, the rabbit ears were greatly diminished, as can be seen in Fig. 7b. Figure 7c contains the detailed AFM scan and profile of the nanowires in the circled area in Fig. 7b, showing a very smooth surface without any rabbit ears. FIGURE 6 (a) SEM image of Si(110) substrate etched by KOH solution at 110 C with silicon oxide layer as an etch mask. The vertical side walls are along the Si(111) plane. (b) AFM topography of 1.5-µm-wide nanowires patterned with a single-layer resist and the mold shown in (a) plane [7]. A mold thus fabricated (Fig. 6a) was used to perform UV imprint lithography. Figure 6b shows the AFM profile of the imprinted titanium wires after metal lift-off. No rabbit ears were present at the edge of the wires. While this 5 Summary We have summarized the key results of our development of an NIL process that employs a single-layer resist. In thermal NIL, our requirements for lower operating temperature and pressure motivated us to develop an alternative resist that is a viscous fluid at room temperature and can be cured at a lower temperature than the operating temperature of the conventional imprint, which depends on the glass-

5 JUNG et al. Issues on nanoimprint lithography with a single-layer resist structure 1335 transition temperature of PMMA. For UV NIL, we devised a novel technique for dispensing resist that takes advantage of the opposing surface energies of the mold and the substrate to produce a uniform and air-free resist film. We also explored the use of Si(110) substrates as molds to produce features with perfectly vertical side walls to prevent the formation of rabbit ears, and experimented with the use of aqua regia to directly etch away rabbit ears once they were formed. Even though one might obtain superior results with a bilayer process, we believe that our results are important because of the simplicity and the lower cost of a single-layer process. by DARPA. ACKNOWLEDGEMENTS This research was supported in part REFERENCES 1 S.Y. Chou, P.R. Krauss, P.J. Renstrom: Science 272, 85 (1996) 2 M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey, B. Choi, M. Wedlake, T. Michaelson, S.V. Sreenivasan, J. Ekerdt, C.G. Willson: Proc. SPIE 3676, 379 (1999) 3 Y. Chen, G.Y. Jung, D.D.A. Ohlberg, X. Li, D.R. Stewart, J.O. Jeppesen, K.A. Nielsen, J.F. Stoddart, R.S. Williams: Nanotechnology 14, 462 (2003) 4 G.Y. Jung, S. Ganapathiappan, D.A.A. Ohlberg, D.L. Olynick, Y. Chen, W.M. Tong, R.S. Williams: Nano Lett. 4, 1225 (2004) 5 S.Y. Chou, R.K. Peter, J.R. Preston: Appl. Phys. Lett. 67, 3114 (1995) 6 G.Y. Jung, Z. Li, W. Wu, Y. Chen, D.L. Olynick, S.Y. Wang, W.M. Tong, R.S. Williams: Langmuir 21, 1158 (2005) 7 M.S. Islam, S. Sharma, T.I. Kamins, R.S. Williams: Nanotechnology 15, L5 (2004)

Fabrication of a Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography

Fabrication of a Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography Fabrication of a 34 34 Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography NANO LETTERS xxxx Vol. 0, No. 0 A-E G. Y. Jung, S. Ganapathiappan, Douglas A. A. Ohlberg, Deirdre L. Olynick,

More information

Step and Flash Imprint Lithography for sub-100nm Patterning

Step and Flash Imprint Lithography for sub-100nm Patterning Step and Flash Imprint Lithography for sub-100nm Patterning Matthew Colburn, Annette Grot, Marie Amistoso, Byung Jin Choi, Todd Bailey, John Ekerdt, S.V. Sreenivasan, James Hollenhorst, C. Grant Willson

More information

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization Researcher: Kunbae (Kevin) Noh, Graduate Student, MAE Dept. and CMRR Collaborators: Leon Chen,

More information

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance Copyright 2011 American Scientific Publishers All rights reserved Printed in the United States of America Journal of Nanoscience and Nanotechnology Vol. 11, 1 6, 2011 In-Situ Monitoring of Pattern Filling

More information

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory Nanofabrication Prof. Stephen Y. Chou Department of Electrical Engineering Princeton University 1 Acknowledgment Dr. Paul Fischer Dr. Yun Wang Dr. Jay Guo Dr. Peter Klauss Dr. Jim Wang Dr. Longtin He Dr.

More information

Soft-lithography for Preparing Patterned Liquid Crystal Orientations

Soft-lithography for Preparing Patterned Liquid Crystal Orientations 2007 KIDS Soft-lithography for Preparing Patterned Liquid Crystal Orientations Hak-Rin Kim **a, Jong-Wook Jung **a, Min-Soo Shin **a, Myung-Eun Kim a, You-Jin Lee **a, and Jae-Hoon Kim *b Abstract We demonstrate

More information

Photolithography I ( Part 2 )

Photolithography I ( Part 2 ) 1 Photolithography I ( Part 2 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Copyright. Stephen Christopher Johnson

Copyright. Stephen Christopher Johnson Copyright by Stephen Christopher Johnson 2005 The Dissertation Committee for Stephen Christopher Johnson Certifies that this is the approved version of the following dissertation: Step and Flash Imprint

More information

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society Mater. Res. Soc. Symp. Proc. Vol. 940 2006 Materials Research Society 0940-P13-12 A Novel Fabrication Technique for Developing Metal Nanodroplet Arrays Christopher Edgar, Chad Johns, and M. Saif Islam

More information

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES 10.1149/1.2794473, The Electrochemical Society PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES S. Yim a, C. Bonhôte b, J. Lille b, and T. Wu b a Dept. of Chem. and Mat. Engr., San

More information

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology R.K. Trichur, M. Fowler, J.W. McCutcheon, and M. Daily Brewer Science, Inc. 2401 Brewer Drive Rolla, MO

More information

Optimizing spray coater process parameters

Optimizing spray coater process parameters Optimizing spray coater process parameters Dr. Eleonora Storace, Florian Palitschka, Dr. Dietrich Tönnies SUSS MicroTec Lithography GmbH Germany Published in the SUSS report 01/2014 E-mail: info@suss.com

More information

Surface Micromachining

Surface Micromachining Surface Micromachining Outline Introduction Material often used in surface micromachining Material selection criteria in surface micromachining Case study: Fabrication of electrostatic motor Major issues

More information

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology April 2010 update SNL Geoff Brennecka (PI) Bruce Burckel Matt George Jack Skinner

More information

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC) Journal of Photopolymer Science and Technology Volume 3, Number 3 (17) 373-378 C 17SPST Technical Paper Novel Spin on Planarization Technology by Photo Curing (P-) Takafumi Endo*, Rikimaru Sakamoto, Keisuke

More information

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass Devin A. Mourey, Randy L. Hoffman, Sean M. Garner *, Arliena Holm, Brad Benson, Gregg Combs, James E. Abbott, Xinghua Li*,

More information

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther EECS 40 Spring 2003 Lecture 19 Microfabrication 4/1/03 Prof. ndy Neureuther How are Integrated Circuits made? Silicon wafers Oxide formation by growth or deposition Other films Pattern transfer by lithography

More information

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Sub-5 nm Structures Process Development and Fabrication Over Large Areas A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication

More information

High-Speed Roll-to-Roll Nanoimprint Lithography on Flexible Plastic Substrates**

High-Speed Roll-to-Roll Nanoimprint Lithography on Flexible Plastic Substrates** COMMUNICATION DOI: 10.1002/adma.200702650 High-Speed Roll-to-Roll Nanoimprint Lithography on Flexible Plastic Substrates** By Se Hyun Ahn and L. Jay Guo* The ability of micro- to nanometer-scale patterning

More information

NIL defect performance toward High volume mass production

NIL defect performance toward High volume mass production NIL defect performance toward High volume mass production Masayuki Hatano a, Kei Kobayashi a, Hiroyuki Kashiwagi a, Hiroshi Tokue a, Takuya Kono a, Nakasugi Tetsuro a, Eun Hyuk Choi b, Wooyung Jung b a

More information

Processing guidelines

Processing guidelines Processing guidelines mr-uvcur21 series UV-curable Polymer for UV-based Nanoimprint Lithography Characteristics mr-uvcur21 is a liquid UV-curable polymer system with low viscosity and high curing rate

More information

Mold Fabrication for 3D Dual Damascene Imprinting

Mold Fabrication for 3D Dual Damascene Imprinting Nanoscale Res Lett (2010) 5:545 549 DOI 10.1007/s11671-010-9540-2 SPECIAL ISSUE ARTICLE Mold Fabrication for 3D Dual Damascene Imprinting S. M. Saydur Rahman Bo Cui Received: 11 September 2009 / Accepted:

More information

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Metallization deposition and etching. Material mainly taken from Campbell, UCCS Metallization deposition and etching Material mainly taken from Campbell, UCCS Application Metallization is back-end processing Metals used are aluminum and copper Mainly involves deposition and etching,

More information

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller Webpage: http://www.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604

More information

Electronically switchable diffractive optical elements

Electronically switchable diffractive optical elements Electronically switchable diffractive optical elements GARRY LESTER, ADRIAN STRUDWICK AND * STEPHEN COULSTON L-lectronics Ltd, 48 Wilton Way, Exeter, EX 3UR * QuantX (Oxford), North Leigh Business Park,

More information

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures VOLUME 4 - ELECTROFORMING Leveraging the Precision of over Alternative Processes When Developing Nano-scale Structures Electrical and mechanical component and subsystem designers generally have five techniques

More information

Delamination of Thin Films Using Laser Induced Stress Waves

Delamination of Thin Films Using Laser Induced Stress Waves Center for Nanoscale Chemical-Electrical-Mechanical Manufacturing Systems Delamination of Thin Films Using Laser Induced Stress Waves Undergraduate Researcher Angelica Anne Vargas, Research Experience

More information

A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms.

A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms. A Novel Method for Low-Resistivity Metal-Interconnection by Using Metallic Functional Liquids and Catalytically Generated Hydrogen Atoms. Journal: 2011 MRS Fall Meeting Manuscript ID: Draft Manuscript

More information

Advanced developer-soluble gap-fill materials and applications

Advanced developer-soluble gap-fill materials and applications Advanced developer-soluble gap-fill materials and applications Runhui Huang, Dan Sullivan, Anwei Qin, Shannon Brown Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA, 65401 ABSTRACT For the via-first

More information

Fabrication Technology

Fabrication Technology Fabrication Technology By B.G.Balagangadhar Department of Electronics and Communication Ghousia College of Engineering, Ramanagaram 1 OUTLINE Introduction Why Silicon The purity of Silicon Czochralski

More information

D Eggenstein-Leopoldshafen, Germany 2 University of Karlsruhe (TH), Institute for Microstructure Technology (IMT),

D Eggenstein-Leopoldshafen, Germany 2 University of Karlsruhe (TH), Institute for Microstructure Technology (IMT), Sub-µ structured Lotus Surfaces Manufacturing M. Worgull 1, M. Heckele 1, T. Mappes 2, B. Matthis 1, G. Tosello 3, T. Metz 4, J. Gavillet 5, P. Koltay 4, H. N. Hansen 3 1 Forschungszentrum Karlsruhe (FZK),

More information

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique

Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique Journal of Photopolymer Science and Technology Volume 30, Number 5 (2017) 539-544 C 2017SPST Metallic Antireflection Structures Made from Silver Ink by a Liquid Transfer Imprint Lithography Technique Ichiro

More information

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation. Figure 2.1 (p. 58) Basic fabrication steps in the silicon planar process: (a) oxide formation, (b) selective oxide removal, (c) deposition of dopant atoms on wafer, (d) diffusion of dopant atoms into exposed

More information

Plasma for Underfill Process in Flip Chip Packaging

Plasma for Underfill Process in Flip Chip Packaging Plasma for Underfill Process in Flip Chip Packaging Jack Zhao and James D. Getty Nordson MARCH 2470-A Bates Avenue Concord, California 94520-1294 USA Published by Nordson MARCH www.nordsonmarch.com 2015

More information

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 Simple method for formation of nanometer scale holes in membranes T. Schenkel 1, E. A. Stach, V. Radmilovic, S.-J. Park, and A. Persaud E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720 When

More information

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2 Agenda: EEL6935 Advanced MEMS (Spring 2005) Instructor: Dr. Huikai Xie Lecture 6 Through-wafer Interconnect EEL6935 Advanced MEMS 2005 H. Xie 1/21/2005 1 Motivations: Wafer-level packaging CMOS 3D Integration

More information

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EE C245 ME C218 Introduction to MEMS Design Fall 2011 Lecture Outline EE C245 ME C218 Introduction to MEMS Design Fall 2011 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720

More information

Micro Injection Molding of Micro Fluidic Platform

Micro Injection Molding of Micro Fluidic Platform Micro Injection Molding of Micro Fluidic Platform S. C. Chen, J. A. Chang, Y. J. Chang and S. W. Chau Department of Mechanical Engineering, Chung Yuan University, Taiwan, ROC Abstract In this study, micro

More information

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing Victor Usov, Graham Cross, Neal O Hara, Declan Scanlan, Sander Paulen, Chris de Ruijter, Daniel Vlasveld,

More information

Towards scalable fabrication of high efficiency polymer solar cells

Towards scalable fabrication of high efficiency polymer solar cells Towards scalable fabrication of high efficiency polymer solar cells Hui Joon Park 2*, Myung-Gyu Kang 1**, Se Hyun Ahn 3, Moon Kyu Kang 1, and L. Jay Guo 1,2,3 1 Department of Electrical Engineering and

More information

Lab #2 Wafer Cleaning (RCA cleaning)

Lab #2 Wafer Cleaning (RCA cleaning) Lab #2 Wafer Cleaning (RCA cleaning) RCA Cleaning System Used: Wet Bench 1, Bay1, Nanofabrication Center Chemicals Used: H 2 O : NH 4 OH : H 2 O 2 (5 : 1 : 1) H 2 O : HF (10 : 1) H 2 O : HCl : H 2 O 2

More information

Superionic Solid State Stamping (S4)

Superionic Solid State Stamping (S4) Superionic Solid State Stamping (S4) Lead Faculty Researcher: Placid Ferreira Department: Materials Science & Engineering Hsu et al, Nano Letters, 2007 1. Description: This dry, single step, electrochemical

More information

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu Multiphoton lithography based 3D micro/nano printing Dr Qin Hu EPSRC Centre for Innovative Manufacturing in Additive Manufacturing University of Nottingham Multiphoton lithography Also known as direct

More information

Large-area patterning by roller-based nanoimprint lithography

Large-area patterning by roller-based nanoimprint lithography JOANNEUM RESEARCH Forschungsgesellschaft Institute MATERIALS, Weiz, Austria Large-area patterning by roller-based nanoimprint lithography Ursula Palfinger, Dieter Nees, Stephan Ruttloff, Markus Leitgeb,

More information

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009 Suggested Reading EE40 Lec 22 IC Fabrication Technology Prof. Nathan Cheung 11/19/2009 300mm Fab Tour http://www-03.ibm.com/technology/manufacturing/technology_tour_300mm_foundry.html Overview of IC Technology

More information

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015 LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS Dr. Saad Ahmed XENON Corporation November 19, 2015 Topics Introduction to Pulsed Light Photonic sintering for Printed Electronics R&D Tools for

More information

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Fabrication Techniques for Thin-Film Silicon Layer Transfer Fabrication Techniques for Thin-Film Silicon Layer Transfer S. L. Holl a, C. A. Colinge b, S. Song b, R. Varasala b, K. Hobart c, F. Kub c a Department of Mechanical Engineering, b Department of Electrical

More information

Vacuum Plasma Deposition of Water and Oil Repellent Nano-coatings

Vacuum Plasma Deposition of Water and Oil Repellent Nano-coatings Vacuum Plasma Deposition of Water and Oil Repellent Nano-coatings AIMCAL Web Coating & Handling Conference 2014 Europe Dr Nicholas Rimmer P2i 127 Olympic Avenue, Milton Park, Abingdon, Oxfordshire, OX14

More information

Plasma surface modification of TiO 2 photocatalysts for improvement of catalytic efficiency

Plasma surface modification of TiO 2 photocatalysts for improvement of catalytic efficiency Surface & Coatings Technology 200 (2005) 1320 1324 www.elsevier.com/locate/surfcoat Plasma surface modification of TiO 2 photocatalysts for improvement of catalytic efficiency Chung-Kyung Jung *, I.-S.

More information

Lecture Day 2 Deposition

Lecture Day 2 Deposition Deposition Lecture Day 2 Deposition PVD - Physical Vapor Deposition E-beam Evaporation Thermal Evaporation (wire feed vs boat) Sputtering CVD - Chemical Vapor Deposition PECVD LPCVD MVD ALD MBE Plating

More information

Ultra High Barrier Coatings by PECVD

Ultra High Barrier Coatings by PECVD Society of Vacuum Coaters 2014 Technical Conference Presentation Ultra High Barrier Coatings by PECVD John Madocks & Phong Ngo, General Plasma Inc., 546 E. 25 th Street, Tucson, Arizona, USA Abstract Silicon

More information

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition Mat. Res. Soc. Symp. Proc. Vol. 784 2004 Materials Research Society C7.7.1 Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical

More information

Technical Data Sheet Technisches Datenblatt

Technical Data Sheet Technisches Datenblatt AZ ECI 3000 Photoresist Universal i-line/crossover Photoresist Series GENERAL INFORMATION AZ ECI 3000 photoresist series are a family of fast positive resists with high resolution capabilities (0.4 µm

More information

Regents of the University of California

Regents of the University of California Surface-Micromachining Process Flow Photoresist Sacrificial Oxide Structural Polysilcon Deposit sacrificial PSG: Target = 2 m 1 hr. 40 min. LPCVD @450 o C Densify the PSG Anneal @950 o C for 30 min. Lithography

More information

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process by Kozue Yabusaki * and Hirokazu Sasaki * In recent years the FIB technique has been widely used for specimen

More information

Supporting Information

Supporting Information Supporting Information Fast-Response, Sensitivitive and Low-Powered Chemosensors by Fusing Nanostructured Porous Thin Film and IDEs-Microheater Chip Zhengfei Dai,, Lei Xu,#,, Guotao Duan *,, Tie Li *,,

More information

Monte Carlo approach to island formation during thermal treatment of thin films*

Monte Carlo approach to island formation during thermal treatment of thin films* Monte Carlo approach to island formation during thermal treatment of thin films* Ann Marie Hardin December 6, 2006 *F. Lallet, R. Bachelet, A. Dauger, and N. Olivi-Tran, (2006) Physical Review B, 74 Outline

More information

MCC. NANO PMMA and Copolymer

MCC. NANO PMMA and Copolymer MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub 0.1µm imaging for many imaging and non-imaging microelectronic

More information

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab. Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA Issues on contaminants on EUV mask Particle removal on EUV mask surface Carbon contamination removal on EUV mask surface

More information

TSV Interposer Process Flow with IME 300mm Facilities

TSV Interposer Process Flow with IME 300mm Facilities TSV Interposer Process Flow with IME 300mm Facilities Property of Institute of Microelectronics (IME)-Singapore August 17, 2012 Outline 1. TSV interposer (TSI) cross sectional schematic TSI with BEOL,

More information

Hybrid high refractive index polymer coatings

Hybrid high refractive index polymer coatings Hybrid high refractive index polymer coatings Yubao Wang, Tony Flaim, Ramil Mercado, Shelly Fowler, Doug Holmes, and Curtis Planje Brewer Science, Inc., 2401 Brewer Dr., Rolla, M 65401 ABSTRACT Thermally

More information

Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and Nano-Sized Boron Nitride

Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and Nano-Sized Boron Nitride The 2012 World Congress on Advances in Civil, Environmental, and Materials Research (ACEM 12) Seoul, Korea, August 26-30, 2012 Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and

More information

Oxide Growth. 1. Introduction

Oxide Growth. 1. Introduction Oxide Growth 1. Introduction Development of high-quality silicon dioxide (SiO2) has helped to establish the dominance of silicon in the production of commercial integrated circuits. Among all the various

More information

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2.

350 C for 8 hours in argon atmosphere. Supplementary Figures. Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. Supplementary Figures Supplementary Figure 1 High-temperature annealing of BP flakes on SiO 2. (a-d) The optical images of three BP flakes on a SiO 2 substrate before (a,b) and after annealing (c,d) at

More information

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION NCCAVS Joint Users Group Technical Symposium San Jose, June 7 th, 2017 Markus Arendt, SÜSS MicroTec

More information

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances Electronic Supplementary Material (ESI) for Nanoscale. This journal is The Royal Society of Chemistry 2016 ` Electronic Supplementary Information High-Resolution, Electrohydrodynamic Inkjet Printing of

More information

HBLED packaging is becoming one of the new, high

HBLED packaging is becoming one of the new, high Ag plating in HBLED packaging improves reflectivity and lowers costs JONATHAN HARRIS, President, CMC Laboratories, Inc., Tempe, AZ Various types of Ag plating technology along with the advantages and limitations

More information

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS MCC PMGI RESISTS OFFER Sub.25µm lift-off processing Film thicknesses from 5µm Choice of resin blends for optimal undercut control High thermal stability Superior adhesion to Si, NiFe, GaAs, InP

More information

Characteristics of machined surface controlled by cutting tools and conditions in machining of brittle material

Characteristics of machined surface controlled by cutting tools and conditions in machining of brittle material Characteristics of machined surface controlled by cutting tools and conditions in machining of brittle material Yong-Woo KIM 1, Soo-Chang CHOI 1, Jeung-Woo PARK 2, Deug-Woo LEE 3 1. Department of Nano

More information

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development TSV Processing and Wafer Stacking Kathy Cook and Maggie Zoberbier, 3D Business Development Outline Why 3D Integration? TSV Process Variations Lithography Process Results Stacking Technology Wafer Bonding

More information

Surface micromachining and Process flow part 1

Surface micromachining and Process flow part 1 Surface micromachining and Process flow part 1 Identify the basic steps of a generic surface micromachining process Identify the critical requirements needed to create a MEMS using surface micromachining

More information

Catherine G. Reyes, Anshul Sharma and Jan P.F. Lagerwall. July 18, Complete description of experimental details

Catherine G. Reyes, Anshul Sharma and Jan P.F. Lagerwall. July 18, Complete description of experimental details Non-electronic gas sensor from electrospun mats of liquid crystal core fibers for detecting volatile organic compounds at room temperature: Supplemental Online Material Catherine G. Reyes, Anshul Sharma

More information

Barix Multilayers: a Water and Oxygen Barrier for Flexible Organic Electronics. Robert Jan Visser

Barix Multilayers: a Water and Oxygen Barrier for Flexible Organic Electronics. Robert Jan Visser Barix Multilayers: a Water and Oxygen Barrier for Flexible Organic Electronics Robert Jan Visser Organic Electronics Is the Future of Electronics Organic? MIT Stanford UC Berkeley Nano Forum Vitex Systems,

More information

Hydrothermal Synthesis of Zinc Oxide Nanowires on Kevlar using ALD and Sputtered ZnO Seed Layers

Hydrothermal Synthesis of Zinc Oxide Nanowires on Kevlar using ALD and Sputtered ZnO Seed Layers Hydrothermal Synthesis of Zinc Oxide Nanowires on Kevlar using ALD and Sputtered ZnO Seed Layers Ashley D. Mason 1, Todd J. Waggoner 1, Sean W. Smith 1, John F. Conley Jr. 1, Brady J. Gibbons 2, Derryl

More information

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING Lynne Michaelson 1, Anh Viet Nguyen 2, Krystal Munoz 1, Jonathan C. Wang

More information

FIB mask repair technology for EUV mask 1. INTRODUCTION

FIB mask repair technology for EUV mask 1. INTRODUCTION FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri***

More information

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Poster FVS Workshop 2002 Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture Texture etching of sputtered ZnO:Al films has opened up a variety of possibilities

More information

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation Kevin Yang, Habib Hichri, Ralph Zoberbier SÜSS MicroTec Photonic Systems Inc. June 18, 2015 MARKET DRIVER Mobile

More information

ABSTRACT: INTRODUCTION:

ABSTRACT: INTRODUCTION: ABSTRACT: Nano-Composite Polymer Optical Coatings Tom Faris Vampire Optical Coatings, Inc. P.O. Box 240 Kirkersville, Ohio 43033 (740)-927-5257 f(740)-927-5032 vampirecoatings@earthlink.net Traditionally

More information

THIN FILM THICKNESS MEASUREMENT FOR EVALUATION OF RESIDUAL LAYER OF NANO-IMPRINT LITHOGRAPHY USING NEAR-FIELD OPTICS

THIN FILM THICKNESS MEASUREMENT FOR EVALUATION OF RESIDUAL LAYER OF NANO-IMPRINT LITHOGRAPHY USING NEAR-FIELD OPTICS 9 th INTERNATIONAL SYMPOSIUM ON MEASUREMENT AND QUALITY CONTROL (9 th ISMQC) November 21 24, 27, IIT Madras THIN FILM THICKNESS MEASUREMENT FOR EVALUATION OF RESIDUAL LAYER OF NANO-IMPRINT LITHOGRAPHY

More information

THE ASPECTS ABOUT RAPID PROTOTYPING SYSTEM

THE ASPECTS ABOUT RAPID PROTOTYPING SYSTEM THE ASPECTS ABOUT RAPID PROTOTYPING SYSTEM Adrian P. POP 1, Petru UNGUR 1, Gheorghe BEJINARU MIHOC 2 1 University of Oradea, e-mail: adippop@yahoo.com; petru_ungur@yahoo.com; 2 Transilvania University

More information

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers David W. Stollberg, Ph.D., P.E. Research Engineer and Adjunct Faculty GTRI_B-1 Field Emitters GTRI_B-2

More information

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong 10.1149/1.2982882 The Electrochemical Society BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION S. Sood and A. Wong Wafer Bonder Division, SUSS MicroTec Inc., 228 SUSS Drive, Waterbury Center,

More information

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University 2014 Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University Page1 Syllabus UNIT 1 Introduction to VLSI Technology: Classification of ICs, Scale of integration,

More information

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Measurement of thickness of native silicon dioxide with a scanning electron microscope Measurement of thickness of native silicon dioxide with a scanning electron microscope V. P. Gavrilenko* a, Yu. A. Novikov b, A. V. Rakov b, P. A. Todua a a Center for Surface and Vacuum Research, 40 Novatorov

More information

Packaging Commercial CMOS Chips for Lab on a Chip Integration

Packaging Commercial CMOS Chips for Lab on a Chip Integration Supporting Information for Packaging Commercial CMOS Chips for Lab on a Chip Integration by Timir Datta-Chaudhuri, Pamela Abshire, and Elisabeth Smela Biocompatibility Although the supplier s instructions

More information

KGC SCIENTIFIC Making of a Chip

KGC SCIENTIFIC  Making of a Chip KGC SCIENTIFIC www.kgcscientific.com Making of a Chip FROM THE SAND TO THE PACKAGE, A DIAGRAM TO UNDERSTAND HOW CPU IS MADE? Sand CPU CHAIN ANALYSIS OF SEMICONDUCTOR Material for manufacturing process

More information

Positive Photoresists

Positive Photoresists Positive Photoresists Gesellschaft für chemische Materialien spezieller Photoresistsysteme mbh Positive Photoresists map 1200 series Thick resists map 1275, map 1275 HV Unique features of the positive

More information

Woven Electrodes for Optoelectronic Devices. Peter Chabrecek. Sefar AG, 9425 Thal, Switzerland

Woven Electrodes for Optoelectronic Devices. Peter Chabrecek. Sefar AG, 9425 Thal, Switzerland Peter Chabrecek Sefar AG, 9425 Thal, Switzerland Actual SEFAR business Sefar's core skills is the manufacture and market of fabrics with precise mesh openings for screen printing and filtration processes

More information

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES EMD PeRFoRmaNce MaTeRIaLs technical datasheet AZ 125nXT Series Photopolymer Negative Tone Photoresists APPLICATION Thick photopolymer photoresists featuring aspect ratios and photospeed not possible with

More information

Laser printing and curing/sintering of silver paste lines for solar cell metallization

Laser printing and curing/sintering of silver paste lines for solar cell metallization Lasers in Manufacturing Conference 2015 Laser printing and curing/sintering of silver paste lines for solar cell metallization D. Munoz-Martin a *, Y. Chen a, A. Márquez a, M. Morales a, C. Molpeceres

More information

Post-CMP Cleaning: Interaction between Particles and Surfaces

Post-CMP Cleaning: Interaction between Particles and Surfaces Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr

More information

Atomic Layer Deposition(ALD)

Atomic Layer Deposition(ALD) Atomic Layer Deposition(ALD) AlO x for diffusion barriers OLED displays http://en.wikipedia.org/wiki/atomic_layer_deposition#/media/file:ald_schematics.jpg Lam s market-leading ALTUS systems combine CVD

More information

Anomaly of Film Porosity Dependence on Deposition Rate

Anomaly of Film Porosity Dependence on Deposition Rate Anomaly of Film Porosity Dependence on Deposition Rate Stephen P. Stagon and Hanchen Huang* Department of Mechanical Engineering, University of Connecticut, Storrs, CT 06269 J. Kevin Baldwin and Amit Misra

More information

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley Nonplanar Metallization Planar Metallization Passivation Metal 5 (copper) Metal 3 (copper) Interlevel dielectric (ILD) Via (tungsten) Metal 1 (copper) Tungsten Plug to Si Silicon Caps and Plugs oxide oxide

More information

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES G. Fortunato, A. Pecora, L. Maiolo, M. Cuscunà, D. Simeone, A. Minotti, and L. Mariucci CNR-IMM,

More information

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Journal of Optoelectronics and Advanced Materials Vol. 4, No. 3, September 2002, p. 809-812 MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES Foundation for Research

More information

High Performance UV Curable Organic- Inorganic Hybrid Coatings for Plastics

High Performance UV Curable Organic- Inorganic Hybrid Coatings for Plastics High Performance UV Curable Organic- Inorganic Hybrid Coatings for Plastics Shan Cheng, Anthony Chasser PPG Industries, Inc. Allison Park, Pennsylvania, U.S.A. Introduction: Thermal plastics have been

More information

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS INTRODUCTION TO Semiconductor Manufacturing Technology SECOND EDITION Hong Xiao TECHNISCHE INFORMATIONSBiBUOTHEK UNIVERSITATSBIBLIOTHEK HANNOVER SPIE PRESS Bellingham,Washington USA Contents Preface to

More information

Oligomer-Coated Carbon Nanotube Chemiresistive Sensors for Selective Detection of Nitroaromatic Explosives

Oligomer-Coated Carbon Nanotube Chemiresistive Sensors for Selective Detection of Nitroaromatic Explosives Supporting information for Oligomer-Coated Carbon Nanotube Chemiresistive Sensors for Selective Detection of Nitroaromatic Explosives Yaqiong Zhang, Miao Xu, Benjamin R. Bunes, Na Wu, Dustin E. Gross,,

More information